From c53f28ac81d3fae151e50921c9559c638f1cf091 Mon Sep 17 00:00:00 2001 From: Anderson Ignacio da Silva Date: Sat, 15 Jun 2024 11:39:36 +0100 Subject: [PATCH] Scoreboard working with non-pip txn Signed-off-by: Anderson Ignacio da Silva --- .gitignore | 1 + cocotbext/ahb/ahb_master.py | 20 +- cocotbext/ahb/ahb_monitor.py | 16 +- log.txt | 12936 +++++++++++++++----- tests/test_ahb_lite_monitor_scoreboard.py | 93 +- 5 files changed, 10168 insertions(+), 2898 deletions(-) diff --git a/.gitignore b/.gitignore index 640e1c9..ef5d80c 100644 --- a/.gitignore +++ b/.gitignore @@ -134,3 +134,4 @@ output run_dir/ .nox/* .DS_Store +*.txt diff --git a/cocotbext/ahb/ahb_master.py b/cocotbext/ahb/ahb_master.py index aca9b3e..e7c2af0 100644 --- a/cocotbext/ahb/ahb_master.py +++ b/cocotbext/ahb/ahb_master.py @@ -4,7 +4,7 @@ # License : MIT license # Author : Anderson I. da Silva (aignacio) # Date : 08.10.2023 -# Last Modified Date: 09.06.2024 +# Last Modified Date: 15.06.2024 import cocotb import logging @@ -43,7 +43,9 @@ def __init__( self._init_bus() self.log.info(f"AHB ({name}) master") self.log.info("cocotbext-ahb version %s", __version__) - self.log.info(f"Copyright (c) {datetime.datetime.now().year} Anderson Ignacio da Silva") + self.log.info( + f"Copyright (c) {datetime.datetime.now().year} Anderson Ignacio da Silva" + ) self.log.info("https://github.com/aignacio/cocotbext-ahb") def _init_bus(self) -> None: @@ -269,6 +271,8 @@ async def write( if size is None: size = [self.bus._data_width // 8 for _ in range(len(address))] else: + if not isinstance(size, list): + size = [size] for sz in size: AHBLiteMaster._check_size(sz, len(self.bus.hwdata) // 8) @@ -276,8 +280,8 @@ async def write( if not isinstance(value, list): value = [value] - if not isinstance(size, list): - size = [size] + # if not isinstance(size, list): + # size = [size] # First check if the input sizes are correct if len(address) != len(value): @@ -330,13 +334,13 @@ async def read( if size is None: size = [self.bus._data_width // 8 for _ in range(len(address))] else: + # Convert all inputs into lists, if not already + if not isinstance(size, list): + size = [size] + for sz in size: AHBLiteMaster._check_size(sz, len(self.bus.hwdata) // 8) - # Convert all inputs into lists, if not already - if not isinstance(size, list): - size = [size] - # First check if the input sizes are correct if len(address) != len(size): raise Exception( diff --git a/cocotbext/ahb/ahb_monitor.py b/cocotbext/ahb/ahb_monitor.py index e72b7e9..e41bdf0 100644 --- a/cocotbext/ahb/ahb_monitor.py +++ b/cocotbext/ahb/ahb_monitor.py @@ -254,7 +254,7 @@ def __init__( def __str__(self): return ( - f"AHBTxn Details:\n" + f"AHB Txn Details:\n" f" Address: 0x{self.addr:08X}\n" f" Size: {2**self.size} bytes (0x{self.size:03X})\n" f" Mode: {'Write' if self.mode == 1 else 'Read'} (0x{self.mode:01X})\n" @@ -262,3 +262,17 @@ def __str__(self): f" Write Data: 0x{self.wdata:08X}\n" f" Read Data: 0x{self.rdata:08X}\n" ) + + def __eq__(self, other): + # We have to override the default python comparison method for this class + # because the Scoreboard class will compare the txns + if isinstance(other, AHBTxn): + return ( + self.addr == other.addr + and self.size == other.size + and self.mode == other.mode + and self.resp == other.resp + and self.wdata == other.wdata + and self.rdata == other.rdata + ) + return False diff --git a/log.txt b/log.txt index caac3e0..049be9d 100644 --- a/log.txt +++ b/log.txt @@ -10,13 +10,13 @@ created: 8/8 workers ______________ test_ahb_lite_sram_monitor_scoreboard[data_width0] ______________ [gw0] linux -- Python 3.10.12 /cocotbext-ahb/.nox/run-3-10/bin/python ------------------------------ Captured log call ------------------------------- -WARNING cocotb:simulator.py:521 Skipping compilation:/cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/ahb_template.vvp +INFO cocotb:simulator.py:330 Running command: iverilog -o /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/ahb_template.vvp -D COCOTB_SIM=1 -g2012 -s ahb_template -Pahb_template.DATA_WIDTH=32 -s iverilog_dump -f /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/timescale.f /cocotbext-ahb/tests/dut/dut.v /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/iverilog_dump.v INFO cocotb:simulator.py:330 Running command: vvp -M /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb/libs -m libcocotbvpi_icarus /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/ahb_template.vvp -fst INFO cocotb:simulator.py:302 -.--ns INFO gpi ..mbed/gpi_embed.cpp:105 in set_program_name_in_venv Using Python virtual environment interpreter at /cocotbext-ahb/.nox/run-3-10/bin/python INFO cocotb:simulator.py:302 -.--ns INFO gpi ../gpi/GpiCommon.cpp:101 in gpi_print_registered_impl VPI registered INFO cocotb:simulator.py:302 0.00ns INFO cocotb Running on Icarus Verilog version 11.0 (stable) INFO cocotb:simulator.py:302 0.00ns INFO cocotb Running tests with cocotb v1.8.1 from /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb -INFO cocotb:simulator.py:302 0.00ns INFO cocotb Seeding Python random module with 1718411035 +INFO cocotb:simulator.py:302 0.00ns INFO cocotb Seeding Python random module with 1718447732 INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_001 INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_002 @@ -29,6 +29,7 @@ INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva @@ -39,2970 +40,10219 @@ INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_t INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f10 -INFO cocotb:simulator.py:302 DATA = 0xe58f6458 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F10 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xE58F6458 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0xe9b61a9c +INFO cocotb:simulator.py:302 SIZE = 1 bytes INFO cocotb:simulator.py:302 50.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6888 -INFO cocotb:simulator.py:302 DATA = 0x750b4a14 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006888 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x750B4A14 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0xe3e8bb66 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 70.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b50 +INFO cocotb:simulator.py:302 DATA = 0x4c1de7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes INFO cocotb:simulator.py:302 90.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2788 -INFO cocotb:simulator.py:302 DATA = 0x553dec7 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002788 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x0553DEC7 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3018 +INFO cocotb:simulator.py:302 DATA = 0x39daa24e +INFO cocotb:simulator.py:302 SIZE = 4 bytes INFO cocotb:simulator.py:302 110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x44d8 -INFO cocotb:simulator.py:302 DATA = 0x2b4b3ac -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000044D8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x02B4B3AC -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x6a0 -INFO cocotb:simulator.py:302 DATA = 0xed27870f -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000006A0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xED27870F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ee8 +INFO cocotb:simulator.py:302 DATA = 0x86d69856 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6918 +INFO cocotb:simulator.py:302 DATA = 0xdd0fd5d6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes INFO cocotb:simulator.py:302 170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x2808 -INFO cocotb:simulator.py:302 DATA = 0x32a352bc -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002808 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x32A352BC -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x3b08 -INFO cocotb:simulator.py:302 DATA = 0x8b620e26 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B08 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x8B620E26 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b88 +INFO cocotb:simulator.py:302 DATA = 0x93ed6148 +INFO cocotb:simulator.py:302 SIZE = 2 bytes INFO cocotb:simulator.py:302 210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x60f0 -INFO cocotb:simulator.py:302 DATA = 0x68d974df -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000060F0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x68D974DF -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a60 +INFO cocotb:simulator.py:302 DATA = 0xf92c9461 +INFO cocotb:simulator.py:302 SIZE = 2 bytes INFO cocotb:simulator.py:302 250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x2140 -INFO cocotb:simulator.py:302 DATA = 0x462fbf6c -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002140 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x462FBF6C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0xd905409d +INFO cocotb:simulator.py:302 SIZE = 1 bytes INFO cocotb:simulator.py:302 270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x3dd8 -INFO cocotb:simulator.py:302 DATA = 0x42594e8e -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003DD8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x42594E8E -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f10 +INFO cocotb:simulator.py:302 ADDR = 0x5ae0 +INFO cocotb:simulator.py:302 DATA = 0x6050dbd5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6468 +INFO cocotb:simulator.py:302 DATA = 0x56046123 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3fd0 +INFO cocotb:simulator.py:302 DATA = 0xbeb83329 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78 +INFO cocotb:simulator.py:302 DATA = 0xdb5b3f50 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c30 +INFO cocotb:simulator.py:302 DATA = 0x1518c2d6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x551f51d3 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a0 +INFO cocotb:simulator.py:302 DATA = 0x24d4e638 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27d0 +INFO cocotb:simulator.py:302 DATA = 0x65e8a3b0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd30 +INFO cocotb:simulator.py:302 DATA = 0x3f0ab8e0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6060 +INFO cocotb:simulator.py:302 DATA = 0x2674065d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb18 +INFO cocotb:simulator.py:302 DATA = 0x58d4e7bf +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e78 +INFO cocotb:simulator.py:302 DATA = 0x36e2fb22 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x48d0 +INFO cocotb:simulator.py:302 DATA = 0x4561be30 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25a8 +INFO cocotb:simulator.py:302 DATA = 0xa1501f16 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x53b0 +INFO cocotb:simulator.py:302 DATA = 0x86236f00 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2db0 +INFO cocotb:simulator.py:302 DATA = 0xff5679d8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a90 +INFO cocotb:simulator.py:302 DATA = 0x7b412b63 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f80 +INFO cocotb:simulator.py:302 DATA = 0x3a535c56 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55b8 +INFO cocotb:simulator.py:302 DATA = 0x4baeb1e6 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ff0 +INFO cocotb:simulator.py:302 DATA = 0x247de9a8 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5cb8 +INFO cocotb:simulator.py:302 DATA = 0x1014f075 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5000 +INFO cocotb:simulator.py:302 DATA = 0x1277ebfe +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xea8 +INFO cocotb:simulator.py:302 DATA = 0x3a2d0c05 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be0 +INFO cocotb:simulator.py:302 DATA = 0x70fc17ee +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x37d8 +INFO cocotb:simulator.py:302 DATA = 0xceb0ccb1 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7b10 +INFO cocotb:simulator.py:302 DATA = 0x9bef42aa +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ab0 +INFO cocotb:simulator.py:302 DATA = 0xeec86504 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7658 +INFO cocotb:simulator.py:302 DATA = 0x47a5b0e4 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f50 +INFO cocotb:simulator.py:302 DATA = 0xe3e49c93 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6900 +INFO cocotb:simulator.py:302 DATA = 0xa4f5ec6f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cb8 +INFO cocotb:simulator.py:302 DATA = 0x2bf97ca9 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5968 +INFO cocotb:simulator.py:302 DATA = 0x8745e6f8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7788 +INFO cocotb:simulator.py:302 DATA = 0x6798d829 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe90 +INFO cocotb:simulator.py:302 DATA = 0xcdfcb7bf +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8b8 +INFO cocotb:simulator.py:302 DATA = 0x1534a1c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e50 +INFO cocotb:simulator.py:302 DATA = 0xf808473a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e78 +INFO cocotb:simulator.py:302 DATA = 0xdfa262c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0xeb2cdf3a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b68 +INFO cocotb:simulator.py:302 DATA = 0xc1444000 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x23d0 +INFO cocotb:simulator.py:302 DATA = 0xe47ff1ea +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26b8 +INFO cocotb:simulator.py:302 DATA = 0x14e894d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c8 +INFO cocotb:simulator.py:302 DATA = 0x796b4a81 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x18e0 +INFO cocotb:simulator.py:302 DATA = 0x9ab7d0db +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5258 +INFO cocotb:simulator.py:302 DATA = 0x855674ef +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4880 +INFO cocotb:simulator.py:302 DATA = 0x759614f4 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21b8 +INFO cocotb:simulator.py:302 DATA = 0x8dcf1cf5 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0xb9ef27f0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x108 +INFO cocotb:simulator.py:302 DATA = 0x7313dfe6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b58 +INFO cocotb:simulator.py:302 DATA = 0x292de5b8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb0 +INFO cocotb:simulator.py:302 DATA = 0x268f04df +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66d0 +INFO cocotb:simulator.py:302 DATA = 0x42fc842b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7690 +INFO cocotb:simulator.py:302 DATA = 0x1bdb0831 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f8 +INFO cocotb:simulator.py:302 DATA = 0x94a3d83f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5688 +INFO cocotb:simulator.py:302 DATA = 0xb9cd973a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b18 +INFO cocotb:simulator.py:302 DATA = 0x35990a0c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x51145231 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4780 +INFO cocotb:simulator.py:302 DATA = 0xb628e2c7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f58 +INFO cocotb:simulator.py:302 DATA = 0x3908b348 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a58 +INFO cocotb:simulator.py:302 DATA = 0xcf8f01bc +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ac8 +INFO cocotb:simulator.py:302 DATA = 0x65e67ba2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43e0 +INFO cocotb:simulator.py:302 DATA = 0x1a28a0d9 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d8 +INFO cocotb:simulator.py:302 DATA = 0x16d7101c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x778 +INFO cocotb:simulator.py:302 DATA = 0xd3504e10 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0x3c04fb61 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d28 +INFO cocotb:simulator.py:302 DATA = 0xd9f37308 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2b30 +INFO cocotb:simulator.py:302 DATA = 0x6b16cc62 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1380 +INFO cocotb:simulator.py:302 DATA = 0xf0b492ef +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7068 +INFO cocotb:simulator.py:302 DATA = 0xe04d3ab4 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5370 +INFO cocotb:simulator.py:302 DATA = 0x14760890 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c0 +INFO cocotb:simulator.py:302 DATA = 0x92022758 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed8 +INFO cocotb:simulator.py:302 DATA = 0x851e7392 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd0 +INFO cocotb:simulator.py:302 DATA = 0x3d5b0db2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x800 +INFO cocotb:simulator.py:302 DATA = 0x68c0c1a8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ff0 +INFO cocotb:simulator.py:302 DATA = 0x416894f0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2580 +INFO cocotb:simulator.py:302 DATA = 0x8e631553 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3e78 +INFO cocotb:simulator.py:302 DATA = 0x2a406621 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f68 +INFO cocotb:simulator.py:302 DATA = 0xc7523261 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ad8 +INFO cocotb:simulator.py:302 DATA = 0x2f20fb1c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0xb28213b1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc0 +INFO cocotb:simulator.py:302 DATA = 0x6ade1c05 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7958 +INFO cocotb:simulator.py:302 DATA = 0x7361de5b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bc8 +INFO cocotb:simulator.py:302 DATA = 0x8c6b8805 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1688 +INFO cocotb:simulator.py:302 DATA = 0x790a33ce +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e88 +INFO cocotb:simulator.py:302 DATA = 0x69e370a5 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f8 +INFO cocotb:simulator.py:302 DATA = 0x2f790fe7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x7996f498 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2eb8 +INFO cocotb:simulator.py:302 DATA = 0x35f4b8c6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5520 +INFO cocotb:simulator.py:302 DATA = 0x9078b868 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1828 +INFO cocotb:simulator.py:302 DATA = 0x3c1865b5 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e0 +INFO cocotb:simulator.py:302 DATA = 0x1ed8d2bf +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4ce8 +INFO cocotb:simulator.py:302 DATA = 0x9fb4dea4 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3018 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ee8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6918 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a60 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ae0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6468 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3fd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6060 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x48d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x53b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2db0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ff0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5cb8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5000 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xea8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x37d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7b10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ab0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7658 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6900 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cb8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5968 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7788 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x23d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x18e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5258 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4880 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x108 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5688 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4780 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ac8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x778 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2b30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1380 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7068 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5370 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x800 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ff0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2580 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3e78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ad8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7958 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1688 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2eb8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5520 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1828 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4ce8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6030.00ns INFO cocotb.regression run_test passed +INFO cocotb:simulator.py:302 6030.00ns INFO cocotb.regression running run_test_001 (2/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: False +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3478 +INFO cocotb:simulator.py:302 DATA = 0x2bee2db6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 6150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2688 +INFO cocotb:simulator.py:302 DATA = 0xb68c4502 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39f8 +INFO cocotb:simulator.py:302 DATA = 0x60e61e9b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 6250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5630 +INFO cocotb:simulator.py:302 DATA = 0xfc428c60 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2728 +INFO cocotb:simulator.py:302 DATA = 0xe1f4ff41 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 6370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7798 +INFO cocotb:simulator.py:302 DATA = 0xecf564b1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3050 +INFO cocotb:simulator.py:302 DATA = 0xa5a458c6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b68 +INFO cocotb:simulator.py:302 DATA = 0x6bde94cb +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26d0 +INFO cocotb:simulator.py:302 DATA = 0x1f83d22f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x61d5320a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b40 +INFO cocotb:simulator.py:302 DATA = 0x5e400ab +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d80 +INFO cocotb:simulator.py:302 DATA = 0x6f379df7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4318 +INFO cocotb:simulator.py:302 DATA = 0x75b66df0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5158 +INFO cocotb:simulator.py:302 DATA = 0x26fa99f2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 6720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x753a38be +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2680 +INFO cocotb:simulator.py:302 DATA = 0x64ef9cd8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4400 +INFO cocotb:simulator.py:302 DATA = 0x43e5ed54 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 6870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0xb6611b15 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de0 +INFO cocotb:simulator.py:302 DATA = 0x4e51ad9c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa70 +INFO cocotb:simulator.py:302 DATA = 0xa56ca7f4 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0xe519dae0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d90 +INFO cocotb:simulator.py:302 DATA = 0xe5b4907b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5320 +INFO cocotb:simulator.py:302 DATA = 0x6bc07ce7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3200 +INFO cocotb:simulator.py:302 DATA = 0xdc8f963 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7248 +INFO cocotb:simulator.py:302 DATA = 0x15432d5b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5530 +INFO cocotb:simulator.py:302 DATA = 0x4bc24a43 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6648 +INFO cocotb:simulator.py:302 DATA = 0xcfcebbf +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f00 +INFO cocotb:simulator.py:302 DATA = 0x7529e3f3 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1428 +INFO cocotb:simulator.py:302 DATA = 0xd7135e5a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ea0 +INFO cocotb:simulator.py:302 DATA = 0x4904f289 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5450 +INFO cocotb:simulator.py:302 DATA = 0x7de60bb3 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x7e14c5d7 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ad8 +INFO cocotb:simulator.py:302 DATA = 0xb4ca8241 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d08 +INFO cocotb:simulator.py:302 DATA = 0x3b925f0e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a40 +INFO cocotb:simulator.py:302 DATA = 0x90ca57fb +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6510 +INFO cocotb:simulator.py:302 DATA = 0xfba92b1 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6138 +INFO cocotb:simulator.py:302 DATA = 0xd8d5145f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf68 +INFO cocotb:simulator.py:302 DATA = 0xf00f97ee +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35a8 +INFO cocotb:simulator.py:302 DATA = 0x8dbe59bd +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5828 +INFO cocotb:simulator.py:302 DATA = 0x3504927a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a8 +INFO cocotb:simulator.py:302 DATA = 0xe71fa3f6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e38 +INFO cocotb:simulator.py:302 DATA = 0x8c18133 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f48 +INFO cocotb:simulator.py:302 DATA = 0x3785c58f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x63c0 +INFO cocotb:simulator.py:302 DATA = 0xdec35c43 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7570 +INFO cocotb:simulator.py:302 DATA = 0xd8848084 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbb0 +INFO cocotb:simulator.py:302 DATA = 0xbb0458bf +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x69d8 +INFO cocotb:simulator.py:302 DATA = 0xa8b1af03 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f08 +INFO cocotb:simulator.py:302 DATA = 0x79b2a6cc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0x56736d89 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de8 +INFO cocotb:simulator.py:302 DATA = 0xfab1ddd8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8e0 +INFO cocotb:simulator.py:302 DATA = 0x4f4b133a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7e80 +INFO cocotb:simulator.py:302 DATA = 0x7949a86 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1058 +INFO cocotb:simulator.py:302 DATA = 0x31a7066e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e20 +INFO cocotb:simulator.py:302 DATA = 0xad8b87ab +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1630 +INFO cocotb:simulator.py:302 DATA = 0x16c07c71 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0xb523f84a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5460 +INFO cocotb:simulator.py:302 DATA = 0xb9d9b261 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd8 +INFO cocotb:simulator.py:302 DATA = 0xa57fbad1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4128 +INFO cocotb:simulator.py:302 DATA = 0xbb5dfe9b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c98 +INFO cocotb:simulator.py:302 DATA = 0x3fceb15f +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x878 +INFO cocotb:simulator.py:302 DATA = 0xa56022bd +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0xea31cc61 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7050 +INFO cocotb:simulator.py:302 DATA = 0xdb5207eb +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34d0 +INFO cocotb:simulator.py:302 DATA = 0x37e04723 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3df0 +INFO cocotb:simulator.py:302 DATA = 0x6abfb35a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x59a8 +INFO cocotb:simulator.py:302 DATA = 0x5eba8462 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35b8 +INFO cocotb:simulator.py:302 DATA = 0x94215d3f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x110 +INFO cocotb:simulator.py:302 DATA = 0xe8381c61 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0x2bf7808a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0xc780adc1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a98 +INFO cocotb:simulator.py:302 DATA = 0xaac0cc75 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x32b8 +INFO cocotb:simulator.py:302 DATA = 0x850002e3 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x535768f6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5508 +INFO cocotb:simulator.py:302 DATA = 0xc648635e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f90 +INFO cocotb:simulator.py:302 DATA = 0x357a77a6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xde0 +INFO cocotb:simulator.py:302 DATA = 0x551e87f2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55e8 +INFO cocotb:simulator.py:302 DATA = 0x1eab0a20 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7840 +INFO cocotb:simulator.py:302 DATA = 0x4edc47de +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79b8 +INFO cocotb:simulator.py:302 DATA = 0x4c23bd80 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fd8 +INFO cocotb:simulator.py:302 DATA = 0x4b87c551 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3690 +INFO cocotb:simulator.py:302 DATA = 0x76ef21f6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4160 +INFO cocotb:simulator.py:302 DATA = 0x317cd95e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1e70 +INFO cocotb:simulator.py:302 DATA = 0xc302774a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7400 +INFO cocotb:simulator.py:302 DATA = 0x4de2c65c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x11a1d4cf +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9980.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbd0 +INFO cocotb:simulator.py:302 DATA = 0x3275814f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x61d8 +INFO cocotb:simulator.py:302 DATA = 0x1039a3b5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fc8 +INFO cocotb:simulator.py:302 DATA = 0x63c004ac +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0x19209894 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79f0 +INFO cocotb:simulator.py:302 DATA = 0xdb60e967 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x24f0 +INFO cocotb:simulator.py:302 DATA = 0xb3a70d4b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4858 +INFO cocotb:simulator.py:302 DATA = 0x5f25e616 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0xcff6a8fe +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbf0 +INFO cocotb:simulator.py:302 DATA = 0x58f149e1 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64c8 +INFO cocotb:simulator.py:302 DATA = 0x7443823c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a68 +INFO cocotb:simulator.py:302 DATA = 0x1c264aa +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3820 +INFO cocotb:simulator.py:302 DATA = 0x555fb098 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f0 +INFO cocotb:simulator.py:302 DATA = 0x3c299e86 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc88 +INFO cocotb:simulator.py:302 DATA = 0x52b032c7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f40 +INFO cocotb:simulator.py:302 DATA = 0xe26e47b3 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3478 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2688 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5630 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2728 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7798 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3050 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4318 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5158 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 11280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4400 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 11430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5320 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3200 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7248 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5530 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 11890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6648 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f00 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1428 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ea0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5450 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ad8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6510 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6138 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5828 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x63c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7570 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x69d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7e80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1058 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1630 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5460 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4128 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x878 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7050 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3df0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x59a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x110 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13980.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x32b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5508 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xde0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7840 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4160 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1e70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7400 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x61d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x24f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4858 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3820 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15590.00ns INFO cocotb.regression run_test_001 passed +INFO cocotb:simulator.py:302 15590.00ns INFO cocotb.regression running run_test_002 (3/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: True +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 15620.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 15620.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 15620.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 15620.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4650 +INFO cocotb:simulator.py:302 DATA = 0x83a7c837 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x17e8 +INFO cocotb:simulator.py:302 DATA = 0x6ce4cffe +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f8 +INFO cocotb:simulator.py:302 DATA = 0x883d0102 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xdf0 +INFO cocotb:simulator.py:302 DATA = 0x4ae41fc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b20 +INFO cocotb:simulator.py:302 DATA = 0xeabc978a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x75f8 +INFO cocotb:simulator.py:302 DATA = 0xa35c40f7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bd8 +INFO cocotb:simulator.py:302 DATA = 0x77a22d23 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x49456d05 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6730 +INFO cocotb:simulator.py:302 DATA = 0x812d1734 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1040 +INFO cocotb:simulator.py:302 DATA = 0x73c8771e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e38 +INFO cocotb:simulator.py:302 DATA = 0x25dc18ac +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e48 +INFO cocotb:simulator.py:302 DATA = 0xd199be4e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2748 +INFO cocotb:simulator.py:302 DATA = 0x9c799832 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6200 +INFO cocotb:simulator.py:302 DATA = 0x2a0d19da +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a70 +INFO cocotb:simulator.py:302 DATA = 0x21320a7a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ce8 +INFO cocotb:simulator.py:302 DATA = 0x1015851e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x14c8 +INFO cocotb:simulator.py:302 DATA = 0xc90c7e29 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5870 +INFO cocotb:simulator.py:302 DATA = 0x14ab53e6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb58 +INFO cocotb:simulator.py:302 DATA = 0xb7e0222b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1de0 +INFO cocotb:simulator.py:302 DATA = 0x844efa28 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4948 +INFO cocotb:simulator.py:302 DATA = 0xc694883f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4690 +INFO cocotb:simulator.py:302 DATA = 0xfc9ccd03 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35d8 +INFO cocotb:simulator.py:302 DATA = 0x59bc4096 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3268 +INFO cocotb:simulator.py:302 DATA = 0xdf734cf2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x45d0 +INFO cocotb:simulator.py:302 DATA = 0x3dc7e395 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42e0 +INFO cocotb:simulator.py:302 DATA = 0xb47c5148 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d68 +INFO cocotb:simulator.py:302 DATA = 0x19bd8f99 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47f0 +INFO cocotb:simulator.py:302 DATA = 0xa7f843eb +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3020 +INFO cocotb:simulator.py:302 DATA = 0x9ff8505b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47e0 +INFO cocotb:simulator.py:302 DATA = 0x6af22ba8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd58 +INFO cocotb:simulator.py:302 DATA = 0xe0100e4f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ed8 +INFO cocotb:simulator.py:302 DATA = 0x720c1a93 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27c0 +INFO cocotb:simulator.py:302 DATA = 0xf46b77e5 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fd8 +INFO cocotb:simulator.py:302 DATA = 0x258de6eb +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x600 +INFO cocotb:simulator.py:302 DATA = 0xb38b639 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a38 +INFO cocotb:simulator.py:302 DATA = 0xf529af4f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0x88b861ec +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27f0 +INFO cocotb:simulator.py:302 DATA = 0x5b789941 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17260.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42d0 +INFO cocotb:simulator.py:302 DATA = 0x999142a8 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5f20 +INFO cocotb:simulator.py:302 DATA = 0x6efd9841 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7048 +INFO cocotb:simulator.py:302 DATA = 0x959e7a74 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3258 +INFO cocotb:simulator.py:302 DATA = 0xd6f25dad +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x231da8e9 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2620 +INFO cocotb:simulator.py:302 DATA = 0x2e91b935 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77e8 +INFO cocotb:simulator.py:302 DATA = 0xc0a1184e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x10e8 +INFO cocotb:simulator.py:302 DATA = 0xbbcac753 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f70 +INFO cocotb:simulator.py:302 DATA = 0x74deee9 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0xef6feeab +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9f0 +INFO cocotb:simulator.py:302 DATA = 0x662ca192 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ce0 +INFO cocotb:simulator.py:302 DATA = 0xf50be676 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7868 +INFO cocotb:simulator.py:302 DATA = 0x1e25b162 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7890 +INFO cocotb:simulator.py:302 DATA = 0x3a8b4ac7 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f20 +INFO cocotb:simulator.py:302 DATA = 0x7f645f69 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42c8 +INFO cocotb:simulator.py:302 DATA = 0x7b92a029 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1868 +INFO cocotb:simulator.py:302 DATA = 0x41aa6b6 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31a0 +INFO cocotb:simulator.py:302 DATA = 0xc12c1c1b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0x14fd017f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1fa0 +INFO cocotb:simulator.py:302 DATA = 0xe43b9cce +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ab0 +INFO cocotb:simulator.py:302 DATA = 0xee640ed6 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18260.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7528 +INFO cocotb:simulator.py:302 DATA = 0x940397af +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x3623e82e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d50 +INFO cocotb:simulator.py:302 DATA = 0x5cc01b5e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1500 +INFO cocotb:simulator.py:302 DATA = 0xa1905bf8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7158 +INFO cocotb:simulator.py:302 DATA = 0xd765f029 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6160 +INFO cocotb:simulator.py:302 DATA = 0x19077ee5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe28 +INFO cocotb:simulator.py:302 DATA = 0x2f012b9f +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0xcd3ef6a9 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa48 +INFO cocotb:simulator.py:302 DATA = 0x10b534ae +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43a0 +INFO cocotb:simulator.py:302 DATA = 0xce5aa9b6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b10 +INFO cocotb:simulator.py:302 DATA = 0x3b35fb79 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c50 +INFO cocotb:simulator.py:302 DATA = 0x2184b547 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d8 +INFO cocotb:simulator.py:302 DATA = 0xa6d2dda8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7638 +INFO cocotb:simulator.py:302 DATA = 0x292f2d8f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28d0 +INFO cocotb:simulator.py:302 DATA = 0xafc1299f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be8 +INFO cocotb:simulator.py:302 DATA = 0x8a51dccf +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3940 +INFO cocotb:simulator.py:302 DATA = 0x4b1261de +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f8 +INFO cocotb:simulator.py:302 DATA = 0x60646730 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2aa0 +INFO cocotb:simulator.py:302 DATA = 0x7c616081 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3378 +INFO cocotb:simulator.py:302 DATA = 0xe5409611 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c38 +INFO cocotb:simulator.py:302 DATA = 0x9dc0a49a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbc8 +INFO cocotb:simulator.py:302 DATA = 0x9e9659b7 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7468 +INFO cocotb:simulator.py:302 DATA = 0xb8fc901a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0x9634af6e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f68 +INFO cocotb:simulator.py:302 DATA = 0x65a375fa +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31b0 +INFO cocotb:simulator.py:302 DATA = 0xa050355c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5030 +INFO cocotb:simulator.py:302 DATA = 0x1b315226 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a38 +INFO cocotb:simulator.py:302 DATA = 0x648949c3 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2408 +INFO cocotb:simulator.py:302 DATA = 0xb0fdb50a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6370 +INFO cocotb:simulator.py:302 DATA = 0x56871b6b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19c8 +INFO cocotb:simulator.py:302 DATA = 0xabd63aa3 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed0 +INFO cocotb:simulator.py:302 DATA = 0xa1504fbc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ae0 +INFO cocotb:simulator.py:302 DATA = 0x83bf76f0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79c8 +INFO cocotb:simulator.py:302 DATA = 0xda86dd18 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16a8 +INFO cocotb:simulator.py:302 DATA = 0x435147ce +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5028 +INFO cocotb:simulator.py:302 DATA = 0xdfb31a7a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19980.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16b0 +INFO cocotb:simulator.py:302 DATA = 0xb14458cf +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa10 +INFO cocotb:simulator.py:302 DATA = 0x929f7442 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5468 +INFO cocotb:simulator.py:302 DATA = 0xae120403 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7828 +INFO cocotb:simulator.py:302 DATA = 0xd228c852 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6db0 +INFO cocotb:simulator.py:302 DATA = 0xb06a89b0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4650 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x17e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xdf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x75f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6730 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1040 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2748 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6200 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ce8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x14c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5870 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1de0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4948 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3268 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x45d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3020 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ed8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x600 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5f20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7048 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3258 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2620 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x10e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ce0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7868 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7890 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1868 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22980.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1fa0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ab0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 23060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7528 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 23170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1500 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7158 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6160 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 23630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7638 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3940 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2aa0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3378 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7468 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5030 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2408 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6370 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ae0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5028 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5468 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7828 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6db0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24910.00ns INFO cocotb.regression run_test_002 passed +INFO cocotb:simulator.py:302 24910.00ns INFO cocotb.regression running run_test_003 (4/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: False +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 24940.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 24940.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 24940.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 24940.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 24940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66c0 +INFO cocotb:simulator.py:302 DATA = 0x72c5d7c3 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3700 +INFO cocotb:simulator.py:302 DATA = 0x1fbc3e5f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f28 +INFO cocotb:simulator.py:302 DATA = 0x567f81fa +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3988 +INFO cocotb:simulator.py:302 DATA = 0x90582582 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0xc019bd9c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0xad3524a5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4648 +INFO cocotb:simulator.py:302 DATA = 0xd7ee4c1d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1860 +INFO cocotb:simulator.py:302 DATA = 0xa631f154 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a18 +INFO cocotb:simulator.py:302 DATA = 0x4eb5e57 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71b8 +INFO cocotb:simulator.py:302 DATA = 0x7dd12813 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66a8 +INFO cocotb:simulator.py:302 DATA = 0x28a18704 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7250 +INFO cocotb:simulator.py:302 DATA = 0x9123a1b8 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x91338ba7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x49c0 +INFO cocotb:simulator.py:302 DATA = 0xd0173c59 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e30 +INFO cocotb:simulator.py:302 DATA = 0x965b2188 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3210 +INFO cocotb:simulator.py:302 DATA = 0xc7012b65 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6de8 +INFO cocotb:simulator.py:302 DATA = 0x1cfb1b19 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7698 +INFO cocotb:simulator.py:302 DATA = 0x3d4b43a5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4598 +INFO cocotb:simulator.py:302 DATA = 0x2ddde163 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c80 +INFO cocotb:simulator.py:302 DATA = 0x4a4e7c94 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e28 +INFO cocotb:simulator.py:302 DATA = 0x9a24bc85 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a38 +INFO cocotb:simulator.py:302 DATA = 0x301a29bd +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b78 +INFO cocotb:simulator.py:302 DATA = 0x3d355101 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x90 +INFO cocotb:simulator.py:302 DATA = 0xa84108b4 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1460 +INFO cocotb:simulator.py:302 DATA = 0xccc07fd5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71c0 +INFO cocotb:simulator.py:302 DATA = 0xa18c1b94 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c78 +INFO cocotb:simulator.py:302 DATA = 0x11f3236e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40c0 +INFO cocotb:simulator.py:302 DATA = 0xd2317fb4 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d08 +INFO cocotb:simulator.py:302 DATA = 0xfa09f720 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xee0 +INFO cocotb:simulator.py:302 DATA = 0xd479bb3 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2d60 +INFO cocotb:simulator.py:302 DATA = 0xeb0d580f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a50 +INFO cocotb:simulator.py:302 DATA = 0x6f5f0777 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f0 +INFO cocotb:simulator.py:302 DATA = 0xaadf8ae6 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d88 +INFO cocotb:simulator.py:302 DATA = 0x24045741 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1c18 +INFO cocotb:simulator.py:302 DATA = 0xaf5c3956 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3a38 +INFO cocotb:simulator.py:302 DATA = 0xae17b08e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x2282329d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1628 +INFO cocotb:simulator.py:302 DATA = 0xadd21b2a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6d10 +INFO cocotb:simulator.py:302 DATA = 0x5bb5795c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf8 +INFO cocotb:simulator.py:302 DATA = 0x8cfca20e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1350 +INFO cocotb:simulator.py:302 DATA = 0xf02db9fa +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b88 +INFO cocotb:simulator.py:302 DATA = 0xe4b96977 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e00 +INFO cocotb:simulator.py:302 DATA = 0x1ae65fcc +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2140 +INFO cocotb:simulator.py:302 DATA = 0xd5b27ba6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5920 +INFO cocotb:simulator.py:302 DATA = 0x9a17e65b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0xd589887a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c48 +INFO cocotb:simulator.py:302 DATA = 0xc0be1f31 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x890 +INFO cocotb:simulator.py:302 DATA = 0xb29eed8d +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7448 +INFO cocotb:simulator.py:302 DATA = 0xdc3effe9 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x19f397a7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1dc0 +INFO cocotb:simulator.py:302 DATA = 0x949f3711 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a90 +INFO cocotb:simulator.py:302 DATA = 0x51bb1b35 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b08 +INFO cocotb:simulator.py:302 DATA = 0xd0f08ffe +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4608 +INFO cocotb:simulator.py:302 DATA = 0x21fe8999 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ce8 +INFO cocotb:simulator.py:302 DATA = 0xdca04126 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5db8 +INFO cocotb:simulator.py:302 DATA = 0x40c5e4a5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1748 +INFO cocotb:simulator.py:302 DATA = 0xc58fb7c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bc8 +INFO cocotb:simulator.py:302 DATA = 0x33a5cbdf +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3090 +INFO cocotb:simulator.py:302 DATA = 0x81a112c1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1138 +INFO cocotb:simulator.py:302 DATA = 0x7f499544 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bf0 +INFO cocotb:simulator.py:302 DATA = 0x128a927 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x308 +INFO cocotb:simulator.py:302 DATA = 0x7a82a42a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ee0 +INFO cocotb:simulator.py:302 DATA = 0x7a09ee6d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c0 +INFO cocotb:simulator.py:302 DATA = 0xf82a92a2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ba0 +INFO cocotb:simulator.py:302 DATA = 0xde582fe2 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5680 +INFO cocotb:simulator.py:302 DATA = 0xf35bdf2c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43b0 +INFO cocotb:simulator.py:302 DATA = 0xa1ac12c3 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb08 +INFO cocotb:simulator.py:302 DATA = 0x4aa26b58 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3098 +INFO cocotb:simulator.py:302 DATA = 0x74ef9fd7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6850 +INFO cocotb:simulator.py:302 DATA = 0x1258771e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xaa8 +INFO cocotb:simulator.py:302 DATA = 0xcf4f0670 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf20 +INFO cocotb:simulator.py:302 DATA = 0xdb70b3cf +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4168 +INFO cocotb:simulator.py:302 DATA = 0x96874feb +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x628 +INFO cocotb:simulator.py:302 DATA = 0x42aa7fee +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4348 +INFO cocotb:simulator.py:302 DATA = 0xa55e7786 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1070 +INFO cocotb:simulator.py:302 DATA = 0x8dd11601 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3bc8 +INFO cocotb:simulator.py:302 DATA = 0x4fc0a735 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x668 +INFO cocotb:simulator.py:302 DATA = 0x54fca07e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d50 +INFO cocotb:simulator.py:302 DATA = 0x6f879a0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2de8 +INFO cocotb:simulator.py:302 DATA = 0x63b0ffdc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2278 +INFO cocotb:simulator.py:302 DATA = 0xd834e75b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a48 +INFO cocotb:simulator.py:302 DATA = 0x8151a879 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b90 +INFO cocotb:simulator.py:302 DATA = 0x1bf90225 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50f0 +INFO cocotb:simulator.py:302 DATA = 0x9cc47777 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x500 +INFO cocotb:simulator.py:302 DATA = 0x1baef968 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe58 +INFO cocotb:simulator.py:302 DATA = 0xefd9d99e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2640 +INFO cocotb:simulator.py:302 DATA = 0x252bf9c7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1088 +INFO cocotb:simulator.py:302 DATA = 0xa2ff0828 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34a8 +INFO cocotb:simulator.py:302 DATA = 0x8b3b396e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1438 +INFO cocotb:simulator.py:302 DATA = 0xb26b892 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x2cd45019 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6388 +INFO cocotb:simulator.py:302 DATA = 0x8a04e4f2 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fb0 +INFO cocotb:simulator.py:302 DATA = 0x2a26f301 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe40 +INFO cocotb:simulator.py:302 DATA = 0xa3df979e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2060 +INFO cocotb:simulator.py:302 DATA = 0xa9aebaa2 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0xd829457b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4528 +INFO cocotb:simulator.py:302 DATA = 0x80ef1f6e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25b0 +INFO cocotb:simulator.py:302 DATA = 0x9d86c02b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5890 +INFO cocotb:simulator.py:302 DATA = 0x6e3afb76 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f0 +INFO cocotb:simulator.py:302 DATA = 0x59a8d478 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3700 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3988 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4648 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1860 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7250 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x49c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3210 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6de8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7698 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4598 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1460 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xee0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2d60 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1c18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1628 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6d10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1350 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e00 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2140 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5920 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x890 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7448 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4608 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ce8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5db8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1748 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3090 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1138 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x308 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ee0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ba0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3098 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6850 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xaa8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4168 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x628 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4348 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1070 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3bc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x668 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2de8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2278 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x500 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2640 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1088 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1438 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6388 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2060 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4528 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5890 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30830.00ns INFO cocotb.regression run_test_003 passed +INFO cocotb:simulator.py:302 30830.00ns INFO cocotb.regression running run_test_004 (5/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: True +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 30860.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 30860.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 30860.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 30860.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 30860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0xb760dd70 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39b8 +INFO cocotb:simulator.py:302 DATA = 0x4969f44a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4cd0 +INFO cocotb:simulator.py:302 DATA = 0x21c8c422 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x548 +INFO cocotb:simulator.py:302 DATA = 0x82f6b314 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6088 +INFO cocotb:simulator.py:302 DATA = 0x79fb63cf +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6100 +INFO cocotb:simulator.py:302 DATA = 0xc9c151ee +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2910 +INFO cocotb:simulator.py:302 DATA = 0xba2d5567 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x498 +INFO cocotb:simulator.py:302 DATA = 0x6a9a7cfe +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bb0 +INFO cocotb:simulator.py:302 DATA = 0x20e58242 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19a8 +INFO cocotb:simulator.py:302 DATA = 0x701b9da8 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x368 +INFO cocotb:simulator.py:302 DATA = 0xb35c63f6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6410 +INFO cocotb:simulator.py:302 DATA = 0xfe07bde5 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41d0 +INFO cocotb:simulator.py:302 DATA = 0x5a3c3bf2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5238 +INFO cocotb:simulator.py:302 DATA = 0xbfcae645 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0xf227669d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c60 +INFO cocotb:simulator.py:302 DATA = 0x31f0bb6c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7c48 +INFO cocotb:simulator.py:302 DATA = 0x46defa14 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cf0 +INFO cocotb:simulator.py:302 DATA = 0x4bf7a949 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54a0 +INFO cocotb:simulator.py:302 DATA = 0x150a3f3e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21c0 +INFO cocotb:simulator.py:302 DATA = 0x34cdff1c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x240 +INFO cocotb:simulator.py:302 DATA = 0x130c7cf5 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15a8 +INFO cocotb:simulator.py:302 DATA = 0xb7db8719 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29a8 +INFO cocotb:simulator.py:302 DATA = 0xce9d1c4e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3530 +INFO cocotb:simulator.py:302 DATA = 0x499097d0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0x549a86a1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5268 +INFO cocotb:simulator.py:302 DATA = 0x41102a65 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x230 +INFO cocotb:simulator.py:302 DATA = 0x2a6f5109 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b40 +INFO cocotb:simulator.py:302 DATA = 0xcba4db6e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47b0 +INFO cocotb:simulator.py:302 DATA = 0x8eafdbc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3878 +INFO cocotb:simulator.py:302 DATA = 0x7cdca6a2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5690 +INFO cocotb:simulator.py:302 DATA = 0x68541934 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x76a8 +INFO cocotb:simulator.py:302 DATA = 0xbb51f571 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c10 +INFO cocotb:simulator.py:302 DATA = 0x7c9f9ef6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7480 +INFO cocotb:simulator.py:302 DATA = 0xc5146bd5 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c50 +INFO cocotb:simulator.py:302 DATA = 0x61acd444 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6500 +INFO cocotb:simulator.py:302 DATA = 0x5a016c13 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6228 +INFO cocotb:simulator.py:302 DATA = 0x93667d50 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c90 +INFO cocotb:simulator.py:302 DATA = 0xb0a590c4 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15b8 +INFO cocotb:simulator.py:302 DATA = 0xa524298a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2788 +INFO cocotb:simulator.py:302 DATA = 0x8b07640 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f78 +INFO cocotb:simulator.py:302 DATA = 0x50d020cc +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d0 +INFO cocotb:simulator.py:302 DATA = 0x4d8f91af +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28e8 +INFO cocotb:simulator.py:302 DATA = 0xda9af6ed +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2c40 +INFO cocotb:simulator.py:302 DATA = 0xe0d50844 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5dc0 +INFO cocotb:simulator.py:302 DATA = 0x412a47fd +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78a8 +INFO cocotb:simulator.py:302 DATA = 0x90d99fca +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d00 +INFO cocotb:simulator.py:302 DATA = 0x841ffc90 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x180 +INFO cocotb:simulator.py:302 DATA = 0xf34921c0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x65fe7cba +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1398 +INFO cocotb:simulator.py:302 DATA = 0x5854ae6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ee0 +INFO cocotb:simulator.py:302 DATA = 0x60f069 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x690 +INFO cocotb:simulator.py:302 DATA = 0x52a987c9 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33b8 +INFO cocotb:simulator.py:302 DATA = 0x20415b96 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e8 +INFO cocotb:simulator.py:302 DATA = 0xad457580 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x51d8 +INFO cocotb:simulator.py:302 DATA = 0x8072db7c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64b8 +INFO cocotb:simulator.py:302 DATA = 0xb1593150 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7518 +INFO cocotb:simulator.py:302 DATA = 0x83490013 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50a8 +INFO cocotb:simulator.py:302 DATA = 0x4cd45651 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x68f0 +INFO cocotb:simulator.py:302 DATA = 0x3ac893b5 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3330 +INFO cocotb:simulator.py:302 DATA = 0xaf550133 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16d0 +INFO cocotb:simulator.py:302 DATA = 0x7ba652ed +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7038 +INFO cocotb:simulator.py:302 DATA = 0xa026ed1f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6fa8 +INFO cocotb:simulator.py:302 DATA = 0x3813d545 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6018 +INFO cocotb:simulator.py:302 DATA = 0x7028b471 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0xc70c424b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5070 +INFO cocotb:simulator.py:302 DATA = 0x6de10568 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bf0 +INFO cocotb:simulator.py:302 DATA = 0x435dcc68 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4140 +INFO cocotb:simulator.py:302 DATA = 0x2e1b2cc8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a0 +INFO cocotb:simulator.py:302 DATA = 0xecf2f182 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f8 +INFO cocotb:simulator.py:302 DATA = 0x1ba63635 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5da0 +INFO cocotb:simulator.py:302 DATA = 0xb99cf578 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3390 +INFO cocotb:simulator.py:302 DATA = 0xefb9b8bf +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54c0 +INFO cocotb:simulator.py:302 DATA = 0x68338dde +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bf8 +INFO cocotb:simulator.py:302 DATA = 0x2a8c4342 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x300 +INFO cocotb:simulator.py:302 DATA = 0x32c5ec8b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5900 +INFO cocotb:simulator.py:302 DATA = 0x54f0cb30 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f0 +INFO cocotb:simulator.py:302 DATA = 0x32afd827 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x948 +INFO cocotb:simulator.py:302 DATA = 0xb49bcebe +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1cf8 +INFO cocotb:simulator.py:302 DATA = 0xf1ea6b14 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x778ca6bd +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1520 +INFO cocotb:simulator.py:302 DATA = 0x7e23e22d +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cf8 +INFO cocotb:simulator.py:302 DATA = 0x1c784846 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7850 +INFO cocotb:simulator.py:302 DATA = 0xe5f6d409 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3220 +INFO cocotb:simulator.py:302 DATA = 0xf65d86ce +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1408 +INFO cocotb:simulator.py:302 DATA = 0x25ab5976 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79e0 +INFO cocotb:simulator.py:302 DATA = 0xd74e5670 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a60 +INFO cocotb:simulator.py:302 DATA = 0x971975c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33f8 +INFO cocotb:simulator.py:302 DATA = 0xcc8215d2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f50 +INFO cocotb:simulator.py:302 DATA = 0x4eb8836b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cb0 +INFO cocotb:simulator.py:302 DATA = 0x9b1304f1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27a0 +INFO cocotb:simulator.py:302 DATA = 0x2d206ed7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0x37187a64 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xba0 +INFO cocotb:simulator.py:302 DATA = 0x8a77623 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2af0 +INFO cocotb:simulator.py:302 DATA = 0xb3feedec +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40a8 +INFO cocotb:simulator.py:302 DATA = 0xe35fd08b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29f0 +INFO cocotb:simulator.py:302 DATA = 0x810d04cc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42f0 +INFO cocotb:simulator.py:302 DATA = 0xa32741dc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df0 +INFO cocotb:simulator.py:302 DATA = 0x37c2842b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2720 +INFO cocotb:simulator.py:302 DATA = 0x60c0524f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x998 +INFO cocotb:simulator.py:302 DATA = 0x63b6cb86 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4cd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x548 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6088 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6100 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2910 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x498 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x368 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6410 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5238 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c60 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7c48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x240 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3530 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5268 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x230 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3878 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x76a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7480 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6500 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6228 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2788 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2c40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d00 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x180 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1398 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ee0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x51d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7518 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x68f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3330 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7038 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6fa8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6018 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5070 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4140 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5da0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3390 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bf8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x300 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5900 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x948 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1cf8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1520 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cf8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7850 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3220 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1408 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a60 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xba0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2af0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 37010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 37030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 37070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 37110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2720 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 37130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x998 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 37150.00ns INFO cocotb.regression run_test_004 passed +INFO cocotb:simulator.py:302 37150.00ns INFO cocotb.regression ******************************************************************************************************* +INFO cocotb:simulator.py:302 ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** +INFO cocotb:simulator.py:302 ******************************************************************************************************* +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test PASS 6030.00 1.05 5730.63 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_001 PASS 9560.00 1.73 5520.00 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_002 PASS 9320.00 1.58 5882.37 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_003 PASS 5920.00 1.48 3995.74 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_004 PASS 6320.00 1.06 5988.21 ** +INFO cocotb:simulator.py:302 ******************************************************************************************************* +INFO cocotb:simulator.py:302 ** TESTS=5 PASS=5 FAIL=0 SKIP=0 37150.00 7.51 4943.61 ** +INFO cocotb:simulator.py:302 ******************************************************************************************************* +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 FST info: dumpfile ahb_template.fst opened for output. +INFO cocotb:simulator.py:262 Results file: /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/kz0lfue9_results.xml +______________ test_ahb_lite_sram_monitor_scoreboard[data_width1] ______________ +[gw1] linux -- Python 3.10.12 /cocotbext-ahb/.nox/run-3-10/bin/python +------------------------------ Captured log call ------------------------------- +INFO cocotb:simulator.py:330 Running command: iverilog -o /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/ahb_template.vvp -D COCOTB_SIM=1 -g2012 -s ahb_template -Pahb_template.DATA_WIDTH=64 -s iverilog_dump -f /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/timescale.f /cocotbext-ahb/tests/dut/dut.v /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/iverilog_dump.v +INFO cocotb:simulator.py:330 Running command: vvp -M /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb/libs -m libcocotbvpi_icarus /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/ahb_template.vvp -fst +INFO cocotb:simulator.py:302 -.--ns INFO gpi ..mbed/gpi_embed.cpp:105 in set_program_name_in_venv Using Python virtual environment interpreter at /cocotbext-ahb/.nox/run-3-10/bin/python +INFO cocotb:simulator.py:302 -.--ns INFO gpi ../gpi/GpiCommon.cpp:101 in gpi_print_registered_impl VPI registered +INFO cocotb:simulator.py:302 0.00ns INFO cocotb Running on Icarus Verilog version 11.0 (stable) +INFO cocotb:simulator.py:302 0.00ns INFO cocotb Running tests with cocotb v1.8.1 from /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb +INFO cocotb:simulator.py:302 0.00ns INFO cocotb Seeding Python random module with 1718447732 +INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test +INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_001 +INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_002 +INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_003 +INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_004 +INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression running run_test (1/5) +ERROR cocotb:simulator.py:302 /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb_bus/monitors/__init__.py:67: DeprecationWarning: This method is now private. +ERROR cocotb:simulator.py:302 self._thread = cocotb.scheduler.add(self._monitor_recv()) +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x31694478e9b61a9c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 50.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x5daea6a1e3e8bb66 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 70.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b50 +INFO cocotb:simulator.py:302 DATA = 0x39daa24e17720884 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 90.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3018 +INFO cocotb:simulator.py:302 DATA = 0x93ed61480e729578 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ee8 +INFO cocotb:simulator.py:302 DATA = 0xf92c9461cbbae735 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6918 +INFO cocotb:simulator.py:302 DATA = 0x22a61c24d905409d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b88 +INFO cocotb:simulator.py:302 DATA = 0x1518c2d67d76ea19 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a60 +INFO cocotb:simulator.py:302 DATA = 0x24d4e638eb87316d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0x861891fe9eec879d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ae0 +INFO cocotb:simulator.py:302 DATA = 0x3f0ab8e019e0915e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6468 +INFO cocotb:simulator.py:302 DATA = 0x5054e3c52674065d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3fd0 +INFO cocotb:simulator.py:302 DATA = 0x36e2fb2266cdd84a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78 +INFO cocotb:simulator.py:302 DATA = 0x60637b7d4561be30 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c30 +INFO cocotb:simulator.py:302 DATA = 0x86236f00e0d5348e +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x3a535c56bfbf28b9 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a0 +INFO cocotb:simulator.py:302 DATA = 0x4baeb1e6e5defbc4 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27d0 +INFO cocotb:simulator.py:302 DATA = 0x247de9a8c231191e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd30 +INFO cocotb:simulator.py:302 DATA = 0x1277ebfeb5e0826d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6060 +INFO cocotb:simulator.py:302 DATA = 0xd5f555113856e271 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb18 +INFO cocotb:simulator.py:302 DATA = 0x9bef42aa2ff093e6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e78 +INFO cocotb:simulator.py:302 DATA = 0xa4f5ec6f314cd242 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x48d0 +INFO cocotb:simulator.py:302 DATA = 0xebd388fd1a8accaa +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25a8 +INFO cocotb:simulator.py:302 DATA = 0xf8663cc93ea8c42f +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x53b0 +INFO cocotb:simulator.py:302 DATA = 0xd5542cf666afd733 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2db0 +INFO cocotb:simulator.py:302 DATA = 0xb799306a12992b34 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a90 +INFO cocotb:simulator.py:302 DATA = 0x7d3c8cf0f808473a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f80 +INFO cocotb:simulator.py:302 DATA = 0xeb2cdf3a166ec186 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55b8 +INFO cocotb:simulator.py:302 DATA = 0xeaae28508b79c14d +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ff0 +INFO cocotb:simulator.py:302 DATA = 0x796b4a8127f8fad4 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5cb8 +INFO cocotb:simulator.py:302 DATA = 0x4d3df0959ab7d0db +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5000 +INFO cocotb:simulator.py:302 DATA = 0x855674effd1b2930 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xea8 +INFO cocotb:simulator.py:302 DATA = 0x8dcf1cf5e4a1e918 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be0 +INFO cocotb:simulator.py:302 DATA = 0x7313dfe6301ff02a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x37d8 +INFO cocotb:simulator.py:302 DATA = 0xca325bfb2f78c506 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7b10 +INFO cocotb:simulator.py:302 DATA = 0x268f04df6e50d61e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ab0 +INFO cocotb:simulator.py:302 DATA = 0x3b1f5e1642fc842b +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7658 +INFO cocotb:simulator.py:302 DATA = 0x94a3d83f724f526f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f50 +INFO cocotb:simulator.py:302 DATA = 0xcb108d8681a3985f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6900 +INFO cocotb:simulator.py:302 DATA = 0xb9cd973ad1f4d664 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cb8 +INFO cocotb:simulator.py:302 DATA = 0x35990a0ca86bd578 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5968 +INFO cocotb:simulator.py:302 DATA = 0x3f9c7dda51145231 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7788 +INFO cocotb:simulator.py:302 DATA = 0xb628e2c783f473ea +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe90 +INFO cocotb:simulator.py:302 DATA = 0xcf8f01bc9b392c37 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8b8 +INFO cocotb:simulator.py:302 DATA = 0x7d4e187865e67ba2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e50 +INFO cocotb:simulator.py:302 DATA = 0x16d7101c8d29ce63 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e78 +INFO cocotb:simulator.py:302 DATA = 0xccd3b498c03562d7 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0xd9f373087ee6e8f8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b68 +INFO cocotb:simulator.py:302 DATA = 0x474a40596b16cc62 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x23d0 +INFO cocotb:simulator.py:302 DATA = 0xf0b492eff2c5dc63 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26b8 +INFO cocotb:simulator.py:302 DATA = 0xe05de1db41ba3d06 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c8 +INFO cocotb:simulator.py:302 DATA = 0x3d5b0db2d7740536 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x18e0 +INFO cocotb:simulator.py:302 DATA = 0x6f6b094d68c0c1a8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5258 +INFO cocotb:simulator.py:302 DATA = 0x416894f0cd6e35db +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4880 +INFO cocotb:simulator.py:302 DATA = 0x69fdf6de8e631553 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21b8 +INFO cocotb:simulator.py:302 DATA = 0xc752326108214831 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0xbb57a8f68b126d24 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x108 +INFO cocotb:simulator.py:302 DATA = 0x2f20fb1c89debfa0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b58 +INFO cocotb:simulator.py:302 DATA = 0x2198a246b28213b1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 1690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb0 +INFO cocotb:simulator.py:302 DATA = 0x85278a7f018cfb37 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66d0 +INFO cocotb:simulator.py:302 DATA = 0x7361de5bcdf6b24b +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7690 +INFO cocotb:simulator.py:302 DATA = 0x790a33ce962901dc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f8 +INFO cocotb:simulator.py:302 DATA = 0x69e370a5cbf45b0c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 1830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5688 +INFO cocotb:simulator.py:302 DATA = 0xa6f2ba6cc9032baf +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b18 +INFO cocotb:simulator.py:302 DATA = 0xf0d32a4c0d74e8e2 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 1910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x7996f498a2580e00 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4780 +INFO cocotb:simulator.py:302 DATA = 0x9f42a05b084c2fb2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 1990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f58 +INFO cocotb:simulator.py:302 DATA = 0x35f4b8c6f3bebe0b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a58 +INFO cocotb:simulator.py:302 DATA = 0x48fe561e9078b868 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ac8 +INFO cocotb:simulator.py:302 DATA = 0x1ed8d2bf743f3e24 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43e0 +INFO cocotb:simulator.py:302 DATA = 0x1a902a59fb4dea4 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d8 +INFO cocotb:simulator.py:302 DATA = 0xb438ec60ced1dd51 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x778 +INFO cocotb:simulator.py:302 DATA = 0x4b8b57e5e47d4e02 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0x6b1e3a4cc383fd3e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d28 +INFO cocotb:simulator.py:302 DATA = 0xaf65c702f605347 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2b30 +INFO cocotb:simulator.py:302 DATA = 0x3a8af6949969d58d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1380 +INFO cocotb:simulator.py:302 DATA = 0xe214653fc9a8fc1f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7068 +INFO cocotb:simulator.py:302 DATA = 0x2d98b8d7179c76dd +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 2330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5370 +INFO cocotb:simulator.py:302 DATA = 0x381a4ed5827dba9c +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 2370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c0 +INFO cocotb:simulator.py:302 DATA = 0x20ec80c7cad92d75 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed8 +INFO cocotb:simulator.py:302 DATA = 0xf90a2937158debab +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd0 +INFO cocotb:simulator.py:302 DATA = 0xb6016621d1b76b23 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x800 +INFO cocotb:simulator.py:302 DATA = 0xed60b29c8ed84808 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ff0 +INFO cocotb:simulator.py:302 DATA = 0xa63d7d5921c93091 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2580 +INFO cocotb:simulator.py:302 DATA = 0x5a1b5843780f8e24 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3e78 +INFO cocotb:simulator.py:302 DATA = 0x13c1c01f864671c7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f68 +INFO cocotb:simulator.py:302 DATA = 0xa86e40bc4fc889d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ad8 +INFO cocotb:simulator.py:302 DATA = 0x93173161812f7347 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x88a73eedd1d2b1e6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc0 +INFO cocotb:simulator.py:302 DATA = 0xcba8b4b4a854f957 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7958 +INFO cocotb:simulator.py:302 DATA = 0x4bbda21d144683af +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bc8 +INFO cocotb:simulator.py:302 DATA = 0x8ef7952c099e4478 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1688 +INFO cocotb:simulator.py:302 DATA = 0xe946bbde8ee71797 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e88 +INFO cocotb:simulator.py:302 DATA = 0xf722fceb54320c02 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f8 +INFO cocotb:simulator.py:302 DATA = 0xe8094fa20931bc76 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x37137cd5d674fe30 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 2890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2eb8 +INFO cocotb:simulator.py:302 DATA = 0x604291a2cfaba6d4 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5520 +INFO cocotb:simulator.py:302 DATA = 0x202b233397c44cb4 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 2950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1828 +INFO cocotb:simulator.py:302 DATA = 0x6fef22d76a08fe9 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 2970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e0 +INFO cocotb:simulator.py:302 DATA = 0x63c22ba5a1e37169 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 2990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4ce8 +INFO cocotb:simulator.py:302 DATA = 0x24fa3c17f7aa286a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3018 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ee8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6918 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a60 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ae0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6468 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3fd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6060 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x48d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x53b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2db0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ff0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5cb8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 3870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5000 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 3910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xea8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 3930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x37d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 3970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7b10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ab0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7658 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6900 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cb8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5968 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7788 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x23d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x18e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5258 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4880 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x108 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 4690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 4830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5688 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 4910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4780 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 4990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1f58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ac8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x778 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2b30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1380 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7068 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 5330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5370 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 5370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x800 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ff0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2580 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3e78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ad8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7958 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1688 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 5890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2eb8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5520 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 5950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1828 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 5970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 5990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4ce8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6030.00ns INFO cocotb.regression run_test passed +INFO cocotb:simulator.py:302 6030.00ns INFO cocotb.regression running run_test_001 (2/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: False +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 6060.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 6060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3478 +INFO cocotb:simulator.py:302 DATA = 0xe1f4ff416ed7485b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2688 +INFO cocotb:simulator.py:302 DATA = 0xa5a458c65fbe6abb +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39f8 +INFO cocotb:simulator.py:302 DATA = 0x95a8c6724793db51 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5630 +INFO cocotb:simulator.py:302 DATA = 0xa4e5aec70a363d69 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2728 +INFO cocotb:simulator.py:302 DATA = 0x61d5320a033ec67e +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7798 +INFO cocotb:simulator.py:302 DATA = 0x887baed05e400ab +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6420.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3050 +INFO cocotb:simulator.py:302 DATA = 0x67a4ccfa75b66df0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b68 +INFO cocotb:simulator.py:302 DATA = 0x26fa99f2909793fa +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26d0 +INFO cocotb:simulator.py:302 DATA = 0x753a38be8f0fee10 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x2b8778e164ef9cd8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b40 +INFO cocotb:simulator.py:302 DATA = 0x49ca01c8b6611b15 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d80 +INFO cocotb:simulator.py:302 DATA = 0xe519dae06655f176 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4318 +INFO cocotb:simulator.py:302 DATA = 0x263edbd76bc07ce7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 6680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5158 +INFO cocotb:simulator.py:302 DATA = 0xa633750442059964 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x5b109b6c15432d5b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2680 +INFO cocotb:simulator.py:302 DATA = 0xcfcebbf280e5070 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4400 +INFO cocotb:simulator.py:302 DATA = 0x9ed4be4b56ff25ac +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0x6038ff2d4904f289 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 6900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de0 +INFO cocotb:simulator.py:302 DATA = 0x7e14c5d73c43fc98 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 6940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa70 +INFO cocotb:simulator.py:302 DATA = 0xfe3093ab4ca8241 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 6960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x90ca57fb9da52e54 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 7030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d90 +INFO cocotb:simulator.py:302 DATA = 0xd8d5145fa82e133b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5320 +INFO cocotb:simulator.py:302 DATA = 0x7a1deae7f00f97ee +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3200 +INFO cocotb:simulator.py:302 DATA = 0x8dbe59bd8720196c +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 7170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7248 +INFO cocotb:simulator.py:302 DATA = 0x8c181330d8be7d1 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 7230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5530 +INFO cocotb:simulator.py:302 DATA = 0xd884808405bc55b2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6648 +INFO cocotb:simulator.py:302 DATA = 0xfe45fe3f8a375243 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 7320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f00 +INFO cocotb:simulator.py:302 DATA = 0xa8b1af03ae7b5234 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1428 +INFO cocotb:simulator.py:302 DATA = 0x22f05ee579b2a6cc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ea0 +INFO cocotb:simulator.py:302 DATA = 0x695824cf56736d89 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5450 +INFO cocotb:simulator.py:302 DATA = 0xfab1ddd8b2c6dc8f +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 7530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0xa4a154a944483b82 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ad8 +INFO cocotb:simulator.py:302 DATA = 0x7949a86584dfffb +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d08 +INFO cocotb:simulator.py:302 DATA = 0x31a7066ee454b199 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a40 +INFO cocotb:simulator.py:302 DATA = 0x16c07c711ac0048c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6510 +INFO cocotb:simulator.py:302 DATA = 0xcde1e1ba4d116253 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 7830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6138 +INFO cocotb:simulator.py:302 DATA = 0xb9d9b261944461d3 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 7870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf68 +INFO cocotb:simulator.py:302 DATA = 0xa57fbad18c7bf883 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35a8 +INFO cocotb:simulator.py:302 DATA = 0x3fceb15ff627e5cb +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 7960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5828 +INFO cocotb:simulator.py:302 DATA = 0x574d3feba56022bd +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a8 +INFO cocotb:simulator.py:302 DATA = 0x37e0472315c84c9e +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e38 +INFO cocotb:simulator.py:302 DATA = 0xed440ce5e80b9711 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f48 +INFO cocotb:simulator.py:302 DATA = 0x1d46fc3f6abfb35a +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 8170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x63c0 +INFO cocotb:simulator.py:302 DATA = 0xe8381c6162729f2b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7570 +INFO cocotb:simulator.py:302 DATA = 0xaac0cc754ea44f1d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbb0 +INFO cocotb:simulator.py:302 DATA = 0x9f5a8c32c94584ea +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x69d8 +INFO cocotb:simulator.py:302 DATA = 0x357a77a68e53cf46 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 8320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f08 +INFO cocotb:simulator.py:302 DATA = 0xeb64df80b956f670 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0xf722f60e83626d2a +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 8400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de8 +INFO cocotb:simulator.py:302 DATA = 0x4edc47de6051f08d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8e0 +INFO cocotb:simulator.py:302 DATA = 0x4c23bd80bfdaaa7d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7e80 +INFO cocotb:simulator.py:302 DATA = 0xe32e11d076406929 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1058 +INFO cocotb:simulator.py:302 DATA = 0xc91b205308dfca5c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e20 +INFO cocotb:simulator.py:302 DATA = 0xc302774aebea7aa7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1630 +INFO cocotb:simulator.py:302 DATA = 0x7072a4d84de2c65c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 8730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x3275814f76241ce0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 8860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5460 +INFO cocotb:simulator.py:302 DATA = 0xfeac50211cd0f703 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 8900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd8 +INFO cocotb:simulator.py:302 DATA = 0x63c004ac305dae3d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 8950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4128 +INFO cocotb:simulator.py:302 DATA = 0xf912befd623f837f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c98 +INFO cocotb:simulator.py:302 DATA = 0x19209894fdadf33e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x878 +INFO cocotb:simulator.py:302 DATA = 0x5e00255fb3a70d4b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x5f25e616e02197b1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7050 +INFO cocotb:simulator.py:302 DATA = 0x58f149e1782b4ec3 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34d0 +INFO cocotb:simulator.py:302 DATA = 0x1c264aaa02432e7 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 9190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3df0 +INFO cocotb:simulator.py:302 DATA = 0x5afb74dd555fb098 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x59a8 +INFO cocotb:simulator.py:302 DATA = 0x3c299e869c74563d +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35b8 +INFO cocotb:simulator.py:302 DATA = 0x14f5d36052b032c7 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 9300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x110 +INFO cocotb:simulator.py:302 DATA = 0xe26e47b3d93d0ce2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 9320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0xb01db7d6c1b250d0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0xdb5f1065226bf81 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a98 +INFO cocotb:simulator.py:302 DATA = 0xcc18d49afbb73f5d +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x32b8 +INFO cocotb:simulator.py:302 DATA = 0x6da77b99478f393f +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x426886b17da016fb +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 9470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5508 +INFO cocotb:simulator.py:302 DATA = 0x3255fc777f63f737 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f90 +INFO cocotb:simulator.py:302 DATA = 0xa277c610ebafc898 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xde0 +INFO cocotb:simulator.py:302 DATA = 0x3541b9ee4fa82807 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55e8 +INFO cocotb:simulator.py:302 DATA = 0x84271a8733d55485 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7840 +INFO cocotb:simulator.py:302 DATA = 0x74e2d69efdb7eaf8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 9680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79b8 +INFO cocotb:simulator.py:302 DATA = 0x118406394a89992b +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 9720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fd8 +INFO cocotb:simulator.py:302 DATA = 0x75dbecf5590ccfaa +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 9770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3690 +INFO cocotb:simulator.py:302 DATA = 0x11d671439c697690 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4160 +INFO cocotb:simulator.py:302 DATA = 0x2d0987df82a56f4 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1e70 +INFO cocotb:simulator.py:302 DATA = 0xacceafedce88440c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 9900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7400 +INFO cocotb:simulator.py:302 DATA = 0xbfd6522a94dff6bd +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 9970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x2b62a58695585b3f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbd0 +INFO cocotb:simulator.py:302 DATA = 0x8f7aa6a0a384724 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x61d8 +INFO cocotb:simulator.py:302 DATA = 0x5c77cd7f2645fc92 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fc8 +INFO cocotb:simulator.py:302 DATA = 0x3615cf96518807f4 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0xd70680dbf7f68a22 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79f0 +INFO cocotb:simulator.py:302 DATA = 0x91f64b08329e6bdc +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x24f0 +INFO cocotb:simulator.py:302 DATA = 0x4ea7759e05f580dc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4858 +INFO cocotb:simulator.py:302 DATA = 0x1807cb400eec0763 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0x1159188e7f8bf4ce +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbf0 +INFO cocotb:simulator.py:302 DATA = 0x9e927949914bd155 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64c8 +INFO cocotb:simulator.py:302 DATA = 0xda87b5e67213d39b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a68 +INFO cocotb:simulator.py:302 DATA = 0x701e8ec02fb93f87 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3820 +INFO cocotb:simulator.py:302 DATA = 0x8264c101c2e6dbfc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 10530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f0 +INFO cocotb:simulator.py:302 DATA = 0x615f1eb3d5d0b78d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc88 +INFO cocotb:simulator.py:302 DATA = 0x5926266e226f1045 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f40 +INFO cocotb:simulator.py:302 DATA = 0x523eb9c1d950ae79 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3478 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2688 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 10720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5630 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2728 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7798 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3050 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 10940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 10990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x26d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4318 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 11190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5158 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x44b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4400 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x858 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5320 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3200 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7248 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5530 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 11710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6648 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 11760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f00 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 11790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1428 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ea0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5450 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 11910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 11950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ad8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6510 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6138 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 12220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5828 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 12630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x63c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7570 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x69d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 12800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 12820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 12860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4de8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 12900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x8e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7e80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 12990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1058 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1630 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5460 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 13340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6dd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4128 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 13510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x878 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7050 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 13790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3df0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 13810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x59a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 13880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 13950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x110 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 13980.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x32b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 14130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5508 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xde0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x55e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7840 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 14390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 14460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4160 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1e70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7400 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x780 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x61d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2fc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 14860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 14910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 14950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x24f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4858 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1470 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 15120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3820 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 15430.00ns INFO cocotb.regression run_test_001 passed +INFO cocotb:simulator.py:302 15430.00ns INFO cocotb.regression running run_test_002 (3/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: True +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 15460.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 15460.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 15460.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 15460.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 15460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4650 +INFO cocotb:simulator.py:302 DATA = 0x32f7bc1e83a7c837 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x17e8 +INFO cocotb:simulator.py:302 DATA = 0x883d01029a0d0d1a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f8 +INFO cocotb:simulator.py:302 DATA = 0xba7b3b06822c3e4e +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 15580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xdf0 +INFO cocotb:simulator.py:302 DATA = 0x46b9241077a22d23 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b20 +INFO cocotb:simulator.py:302 DATA = 0x812d17342f62053d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 15670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x75f8 +INFO cocotb:simulator.py:302 DATA = 0x73c8771efc00f761 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 15720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bd8 +INFO cocotb:simulator.py:302 DATA = 0xa877b038259f0dd7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0xd199be4e4efbd44b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6730 +INFO cocotb:simulator.py:302 DATA = 0x61788c372a0d19da +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 15870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1040 +INFO cocotb:simulator.py:302 DATA = 0x1015851ea91f9ef8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e38 +INFO cocotb:simulator.py:302 DATA = 0x14ab53e6559bd448 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 15990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e48 +INFO cocotb:simulator.py:302 DATA = 0x17535e82b7e0222b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2748 +INFO cocotb:simulator.py:302 DATA = 0xc38e6b404d1af74a +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6200 +INFO cocotb:simulator.py:302 DATA = 0xc694883fa1f2674c +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a70 +INFO cocotb:simulator.py:302 DATA = 0xced5327df734cf2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ce8 +INFO cocotb:simulator.py:302 DATA = 0xb47c5148859a943c +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x14c8 +INFO cocotb:simulator.py:302 DATA = 0xd33e602079ed82d8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5870 +INFO cocotb:simulator.py:302 DATA = 0xa7f843eb6b006dc0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb58 +INFO cocotb:simulator.py:302 DATA = 0x757f70a19ff8505b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1de0 +INFO cocotb:simulator.py:302 DATA = 0xe60a0edabb173518 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4948 +INFO cocotb:simulator.py:302 DATA = 0xcc6cba559584246a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4690 +INFO cocotb:simulator.py:302 DATA = 0xbd28167d23ea139e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35d8 +INFO cocotb:simulator.py:302 DATA = 0x258de6ebe275ae38 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3268 +INFO cocotb:simulator.py:302 DATA = 0xefaea682aa43c202 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x45d0 +INFO cocotb:simulator.py:302 DATA = 0x88b861ec90ef79d1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 16670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42e0 +INFO cocotb:simulator.py:302 DATA = 0xc3661b4f09b1ec58 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d68 +INFO cocotb:simulator.py:302 DATA = 0x999142a814ce52d3 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47f0 +INFO cocotb:simulator.py:302 DATA = 0xd2e6d3ef784bbc00 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 16830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3020 +INFO cocotb:simulator.py:302 DATA = 0x6efd98418dd5f193 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47e0 +INFO cocotb:simulator.py:302 DATA = 0x3c659020959e7a74 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd58 +INFO cocotb:simulator.py:302 DATA = 0xd6f25dadc20fae55 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 16920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ed8 +INFO cocotb:simulator.py:302 DATA = 0x2e91b935c42e6126 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 16960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27c0 +INFO cocotb:simulator.py:302 DATA = 0xf6029d8b3ca7a930 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fd8 +INFO cocotb:simulator.py:302 DATA = 0x74deee9b2c24229 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x600 +INFO cocotb:simulator.py:302 DATA = 0x662ca192549049e1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a38 +INFO cocotb:simulator.py:302 DATA = 0x485e0668f50be676 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0xa9458589d7e133b7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27f0 +INFO cocotb:simulator.py:302 DATA = 0x57590abd7f645f69 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42d0 +INFO cocotb:simulator.py:302 DATA = 0xb21b3feffe1a6ef0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5f20 +INFO cocotb:simulator.py:302 DATA = 0x8e18def23291f435 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 17260.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7048 +INFO cocotb:simulator.py:302 DATA = 0xd8617efa421ac3f8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3258 +INFO cocotb:simulator.py:302 DATA = 0x14fd017f30a37773 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0xc0f7bd2538359ebc +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 17440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2620 +INFO cocotb:simulator.py:302 DATA = 0x3c1d088ad765f029 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77e8 +INFO cocotb:simulator.py:302 DATA = 0x19077ee58db06a34 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x10e8 +INFO cocotb:simulator.py:302 DATA = 0xa9c06d44cd51a189 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 17560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f70 +INFO cocotb:simulator.py:302 DATA = 0xcd3ef6a913199c79 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0x292f2d8f795f822d +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9f0 +INFO cocotb:simulator.py:302 DATA = 0x4b1261de01477a18 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ce0 +INFO cocotb:simulator.py:302 DATA = 0xe9f58f7923bcabda +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 17720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7868 +INFO cocotb:simulator.py:302 DATA = 0xe54096110b652cc8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 17780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7890 +INFO cocotb:simulator.py:302 DATA = 0x9e9659b73ecb6f1a +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 17860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f20 +INFO cocotb:simulator.py:302 DATA = 0x65a375fa42912e36 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 17900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42c8 +INFO cocotb:simulator.py:302 DATA = 0xf955fdbe0e97e292 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 17940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1868 +INFO cocotb:simulator.py:302 DATA = 0x8e694979fb750473 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 17990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31a0 +INFO cocotb:simulator.py:302 DATA = 0x1b3152268e60a750 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0x56871b6b7e1e1cde +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1fa0 +INFO cocotb:simulator.py:302 DATA = 0x83bf76f0457fba80 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ab0 +INFO cocotb:simulator.py:302 DATA = 0x70a2a95eda86dd18 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7528 +INFO cocotb:simulator.py:302 DATA = 0xdfb31a7a6fc8e619 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x35b266530e5a3c44 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d50 +INFO cocotb:simulator.py:302 DATA = 0x733682969a0b5a5a +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 18290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1500 +INFO cocotb:simulator.py:302 DATA = 0x61b257c3bd0aa8e2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 18320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7158 +INFO cocotb:simulator.py:302 DATA = 0x9779305e4ba2d4a2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 18360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6160 +INFO cocotb:simulator.py:302 DATA = 0x22681992616dd3a6 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18420.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe28 +INFO cocotb:simulator.py:302 DATA = 0xa27aa80cab6ab245 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x2ab7d0ab40189fd7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa48 +INFO cocotb:simulator.py:302 DATA = 0xb63e69cb57d818a8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 18590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43a0 +INFO cocotb:simulator.py:302 DATA = 0x226296ebc881ede4 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b10 +INFO cocotb:simulator.py:302 DATA = 0x64e801a2b9e9e5ff +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c50 +INFO cocotb:simulator.py:302 DATA = 0xd905e4879a41a8c2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d8 +INFO cocotb:simulator.py:302 DATA = 0x391ecdffd7cd267a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7638 +INFO cocotb:simulator.py:302 DATA = 0x348aa9330982e531 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28d0 +INFO cocotb:simulator.py:302 DATA = 0x733f95cfdd23f614 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 18870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be8 +INFO cocotb:simulator.py:302 DATA = 0x3c6a593f8ba2afe +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 18890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3940 +INFO cocotb:simulator.py:302 DATA = 0x9b7d155ed3cbebf5 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 18920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f8 +INFO cocotb:simulator.py:302 DATA = 0x8ed140f34262b7ca +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 18970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2aa0 +INFO cocotb:simulator.py:302 DATA = 0x772a29c6408dcd3b +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 18990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3378 +INFO cocotb:simulator.py:302 DATA = 0xea883e9f4ce5d0ae +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c38 +INFO cocotb:simulator.py:302 DATA = 0xed3ee89dc20c9c43 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbc8 +INFO cocotb:simulator.py:302 DATA = 0xc0741c9666c52b64 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7468 +INFO cocotb:simulator.py:302 DATA = 0xb3106ea04f744e3b +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0x5fb944bbff08ddca +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f68 +INFO cocotb:simulator.py:302 DATA = 0xab56520fc3f17678 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 19350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31b0 +INFO cocotb:simulator.py:302 DATA = 0x2206a0f903331b61 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5030 +INFO cocotb:simulator.py:302 DATA = 0xf57cc18c80fcb16f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a38 +INFO cocotb:simulator.py:302 DATA = 0x31bfcf24367c046f +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 19480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2408 +INFO cocotb:simulator.py:302 DATA = 0xdb02cab3fd75803b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6370 +INFO cocotb:simulator.py:302 DATA = 0xbe54bd51123d7966 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19c8 +INFO cocotb:simulator.py:302 DATA = 0x80eea6e522106e1d +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed0 +INFO cocotb:simulator.py:302 DATA = 0x3062e76d0b71ef57 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ae0 +INFO cocotb:simulator.py:302 DATA = 0x37a71d51333757e7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79c8 +INFO cocotb:simulator.py:302 DATA = 0xc0f45be15155646a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 19750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16a8 +INFO cocotb:simulator.py:302 DATA = 0x924ef04c384e19dc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 19810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5028 +INFO cocotb:simulator.py:302 DATA = 0x993ae53d61c4d8a8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 19850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16b0 +INFO cocotb:simulator.py:302 DATA = 0x5445805659693ba2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 19900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa10 +INFO cocotb:simulator.py:302 DATA = 0x6e36fa0f30e08817 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 19940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5468 +INFO cocotb:simulator.py:302 DATA = 0x47ef148bcffb3d86 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 19990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7828 +INFO cocotb:simulator.py:302 DATA = 0x5112c15851a94e45 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6db0 +INFO cocotb:simulator.py:302 DATA = 0x5846c294bdef326c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4650 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x17e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 20200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xdf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5b20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 20300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x75f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6730 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1040 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2e48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2748 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 20730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6200 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 20780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7a70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ce8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 20880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x14c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 20900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5870 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 20940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 20970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1de0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 20990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4948 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x35d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 21150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3268 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x45d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 21340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3020 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47e0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xd58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3ed8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 21600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fd8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x600 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3730 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 21910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 21950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 21990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5f20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 22040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7048 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3258 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 22170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2620 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x77e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x10e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 22320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2f70 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x9f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ce0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7868 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 22550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7890 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 22600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 22640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1868 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1fa0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 22880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2ab0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 22910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7528 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 22950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 23080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1500 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 23110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7158 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 23150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6160 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 23260.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 23300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7638 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 23630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 23650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3be8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 23740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3940 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 23780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2aa0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 23910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3378 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 23960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xbc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7468 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f68 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 24170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x31b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5030 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 24330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2408 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6370 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24420.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ed0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ae0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79c8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 24570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5028 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 24680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xa10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 24720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5468 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 24770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7828 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6db0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24870.00ns INFO cocotb.regression run_test_002 passed +INFO cocotb:simulator.py:302 24870.00ns INFO cocotb.regression running run_test_003 (4/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: False +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 24900.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 24900.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 24900.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 24900.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 24900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66c0 +INFO cocotb:simulator.py:302 DATA = 0x53260b2972c5d7c3 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 24950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3700 +INFO cocotb:simulator.py:302 DATA = 0x567f81fa0e95b208 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 24970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f28 +INFO cocotb:simulator.py:302 DATA = 0xad3524a5eed4a94b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3988 +INFO cocotb:simulator.py:302 DATA = 0x4eb5e5713b648ed +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0xbd7e2900c222ba06 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0x91338ba73844627b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4648 +INFO cocotb:simulator.py:302 DATA = 0x1cfb1b19a673457e +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 25150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1860 +INFO cocotb:simulator.py:302 DATA = 0x102e29382ddde163 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a18 +INFO cocotb:simulator.py:302 DATA = 0xca1ff817405f415f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71b8 +INFO cocotb:simulator.py:302 DATA = 0xa53897e2eaf8aafe +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66a8 +INFO cocotb:simulator.py:302 DATA = 0xc38bcd0afb5fbe20 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7250 +INFO cocotb:simulator.py:302 DATA = 0xccc07fd5168d4521 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x4b063cd5a18c1b94 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x49c0 +INFO cocotb:simulator.py:302 DATA = 0xd479bb36845b321 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e30 +INFO cocotb:simulator.py:302 DATA = 0x647db7efeb0d580f +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3210 +INFO cocotb:simulator.py:302 DATA = 0x6f5f0777e6959481 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6de8 +INFO cocotb:simulator.py:302 DATA = 0x240457418c7ef4de +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7698 +INFO cocotb:simulator.py:302 DATA = 0x2282329d344f2411 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4598 +INFO cocotb:simulator.py:302 DATA = 0xe22e2d24e94fc0b8 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c80 +INFO cocotb:simulator.py:302 DATA = 0xadd21b2a8d2ae1f4 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e28 +INFO cocotb:simulator.py:302 DATA = 0x1ae65fcc67d11ff8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a38 +INFO cocotb:simulator.py:302 DATA = 0xd5b27ba6ef5a12c4 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b78 +INFO cocotb:simulator.py:302 DATA = 0x7b8d101c0be1f31 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x90 +INFO cocotb:simulator.py:302 DATA = 0xb29eed8da7af8404 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1460 +INFO cocotb:simulator.py:302 DATA = 0x19f397a7d70f3663 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71c0 +INFO cocotb:simulator.py:302 DATA = 0x81da996949f3711 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c78 +INFO cocotb:simulator.py:302 DATA = 0x51bb1b35c6407238 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 25790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40c0 +INFO cocotb:simulator.py:302 DATA = 0xea0dd1a5eec75a4c +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d08 +INFO cocotb:simulator.py:302 DATA = 0x40c5e4a517268924 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xee0 +INFO cocotb:simulator.py:302 DATA = 0xa7b90685997fc288 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 25890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2d60 +INFO cocotb:simulator.py:302 DATA = 0x33a5cbdfc5684218 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 25910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a50 +INFO cocotb:simulator.py:302 DATA = 0x1f9f862a81a112c1 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f0 +INFO cocotb:simulator.py:302 DATA = 0x7676ff1c0128a927 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 25990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d88 +INFO cocotb:simulator.py:302 DATA = 0x17150b4e7a09ee6d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1c18 +INFO cocotb:simulator.py:302 DATA = 0xf82a92a2908e0eed +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3a38 +INFO cocotb:simulator.py:302 DATA = 0x8fc01ffaeb9e3c24 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x1dbdc23ede582fe2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1628 +INFO cocotb:simulator.py:302 DATA = 0xf35bdf2c8c534920 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6d10 +INFO cocotb:simulator.py:302 DATA = 0x6eb6c2174aa26b58 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf8 +INFO cocotb:simulator.py:302 DATA = 0x1258771e646cda53 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1350 +INFO cocotb:simulator.py:302 DATA = 0x38788f8196874feb +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b88 +INFO cocotb:simulator.py:302 DATA = 0x4fc0a73526f6c584 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e00 +INFO cocotb:simulator.py:302 DATA = 0xe3933815dbf51dc5 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2140 +INFO cocotb:simulator.py:302 DATA = 0xd824cc8903029ab6 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5920 +INFO cocotb:simulator.py:302 DATA = 0x6f879a08a46975e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x8151a8796bb97f10 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c48 +INFO cocotb:simulator.py:302 DATA = 0xa3e811e1baef968 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x890 +INFO cocotb:simulator.py:302 DATA = 0x87329bfe8ebef3bc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7448 +INFO cocotb:simulator.py:302 DATA = 0xa2ff08283b3d5236 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x75818b660b26b892 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1dc0 +INFO cocotb:simulator.py:302 DATA = 0x7ab890188a04e4f2 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a90 +INFO cocotb:simulator.py:302 DATA = 0xa3df979e2b2895d2 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b08 +INFO cocotb:simulator.py:302 DATA = 0xb67058aa9aebaa2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4608 +INFO cocotb:simulator.py:302 DATA = 0xd829457bce83077f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ce8 +INFO cocotb:simulator.py:302 DATA = 0x6e3afb76f8970996 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5db8 +INFO cocotb:simulator.py:302 DATA = 0x59a8d47886dd9860 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1748 +INFO cocotb:simulator.py:302 DATA = 0x40ec52894ffb252b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bc8 +INFO cocotb:simulator.py:302 DATA = 0x64713552dbbb3c8c +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3090 +INFO cocotb:simulator.py:302 DATA = 0xdb19f7c389a803ed +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1138 +INFO cocotb:simulator.py:302 DATA = 0x3f03a465c7e6835d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bf0 +INFO cocotb:simulator.py:302 DATA = 0x6882ef2d7bc2acf1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 26750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x308 +INFO cocotb:simulator.py:302 DATA = 0x6b6fa837923a9485 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ee0 +INFO cocotb:simulator.py:302 DATA = 0xad30ca8a9c21bad6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c0 +INFO cocotb:simulator.py:302 DATA = 0x25b261265231d231 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 26830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ba0 +INFO cocotb:simulator.py:302 DATA = 0x79ad5007e1279c44 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5680 +INFO cocotb:simulator.py:302 DATA = 0x42ff72633de3d718 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43b0 +INFO cocotb:simulator.py:302 DATA = 0xf4be3087064179f4 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 26950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb08 +INFO cocotb:simulator.py:302 DATA = 0x2a7b80ec8d3c0b10 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3098 +INFO cocotb:simulator.py:302 DATA = 0x898e9cc4dc147293 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 26990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6850 +INFO cocotb:simulator.py:302 DATA = 0x1629d564c2da14c9 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xaa8 +INFO cocotb:simulator.py:302 DATA = 0x4c32e33a1cb9cfd5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf20 +INFO cocotb:simulator.py:302 DATA = 0x9a4c40139f44cb8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4168 +INFO cocotb:simulator.py:302 DATA = 0x5af915b33c357107 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x628 +INFO cocotb:simulator.py:302 DATA = 0x5f136c57ba6259d6 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4348 +INFO cocotb:simulator.py:302 DATA = 0x7b30139ba701c39f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1070 +INFO cocotb:simulator.py:302 DATA = 0x2cdb1d42aaab0bc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3bc8 +INFO cocotb:simulator.py:302 DATA = 0xe6515d717023c8c3 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x668 +INFO cocotb:simulator.py:302 DATA = 0x7f412b37bb4ddaa9 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d50 +INFO cocotb:simulator.py:302 DATA = 0xb12c7c9fef61924 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2de8 +INFO cocotb:simulator.py:302 DATA = 0x10253b1cb4cc8040 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2278 +INFO cocotb:simulator.py:302 DATA = 0xdabd06e2b3ebc001 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a48 +INFO cocotb:simulator.py:302 DATA = 0xa58f9ffc792d3e9e +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b90 +INFO cocotb:simulator.py:302 DATA = 0x9523c5f60c8aae36 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50f0 +INFO cocotb:simulator.py:302 DATA = 0xb6a5cf895f26c284 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x500 +INFO cocotb:simulator.py:302 DATA = 0x23dcb790dd0bb7f0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe58 +INFO cocotb:simulator.py:302 DATA = 0x6429e192f032e451 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2640 +INFO cocotb:simulator.py:302 DATA = 0x7aa1acfb30d3492e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1088 +INFO cocotb:simulator.py:302 DATA = 0x97584634b9aa654e +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34a8 +INFO cocotb:simulator.py:302 DATA = 0x924218f0000448f7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1438 +INFO cocotb:simulator.py:302 DATA = 0x3a7dbe39c3933f8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x305138aca541fce3 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6388 +INFO cocotb:simulator.py:302 DATA = 0x64e82e5f4a4f26a1 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fb0 +INFO cocotb:simulator.py:302 DATA = 0x6e668c0bf93b7abf +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe40 +INFO cocotb:simulator.py:302 DATA = 0xe23f23f63960d19 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2060 +INFO cocotb:simulator.py:302 DATA = 0x9de1b4eda4724446 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x1563e747a3f4f125 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4528 +INFO cocotb:simulator.py:302 DATA = 0x874b12cdc3a54c48 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25b0 +INFO cocotb:simulator.py:302 DATA = 0x9a243fd1c978f0e4 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5890 +INFO cocotb:simulator.py:302 DATA = 0x5bfbc23c89478173 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f0 +INFO cocotb:simulator.py:302 DATA = 0xc5c94bf16fd634f5 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 27850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3700 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 27910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7f28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 27950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3988 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 27970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5720 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4648 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 28090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1860 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x66a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7250 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1d98 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x49c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4e30 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3210 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6de8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7698 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4598 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c80 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e28 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1460 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x71c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c78 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 28730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5d08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xee0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 28830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2d60 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6a50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x70f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3d88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 28950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1c18 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3a38 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 28990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3958 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1628 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6d10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1350 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3b88 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e00 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2140 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5920 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5e10 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x890 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7448 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xc40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1a90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4608 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ce8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5db8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1748 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3090 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1138 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bf0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x308 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7ee0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5c0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 29770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6ba0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x43b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xb08 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3098 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 29930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6850 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 29970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xaa8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 29990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xf20 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4168 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x628 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4348 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1070 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3bc8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x668 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4d50 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2de8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2278 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a48 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1b90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x500 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe58 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2640 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1088 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x34a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1438 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6388 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 30550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4fb0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xe40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2060 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x13b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4528 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x25b0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5890 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x65f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30790.00ns INFO cocotb.regression run_test_003 passed +INFO cocotb:simulator.py:302 30790.00ns INFO cocotb.regression running run_test_004 (5/5) +INFO cocotb:simulator.py:302 Automatically generated test +INFO cocotb:simulator.py:302 +INFO cocotb:simulator.py:302 bp_fn: +INFO cocotb:simulator.py:302 pip_mode: True +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.scoreboard.ahb_template Created with reorder_depth 0 +INFO cocotb:simulator.py:302 30820.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave +INFO cocotb:simulator.py:302 30820.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 30820.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 30820.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb +INFO cocotb:simulator.py:302 30820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x39c93015b760dd70 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39b8 +INFO cocotb:simulator.py:302 DATA = 0x375fb1354969f44a +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 30890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4cd0 +INFO cocotb:simulator.py:302 DATA = 0x82f6b31470575038 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x548 +INFO cocotb:simulator.py:302 DATA = 0xba2d5567469ee21d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 30950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6088 +INFO cocotb:simulator.py:302 DATA = 0x98aacc5e67514987 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 30990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6100 +INFO cocotb:simulator.py:302 DATA = 0x3d648cc16a9a7cfe +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2910 +INFO cocotb:simulator.py:302 DATA = 0xfe07bde536a034b9 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x498 +INFO cocotb:simulator.py:302 DATA = 0xde1f9dd1fed1ea72 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bb0 +INFO cocotb:simulator.py:302 DATA = 0xbfcae64555fd9bc7 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x19a8 +INFO cocotb:simulator.py:302 DATA = 0x31f0bb6cfdf374f3 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x368 +INFO cocotb:simulator.py:302 DATA = 0x46defa14d36e09ef +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6410 +INFO cocotb:simulator.py:302 DATA = 0xa3a38b5c83396b5b +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41d0 +INFO cocotb:simulator.py:302 DATA = 0x150a3f3eae3a2368 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5238 +INFO cocotb:simulator.py:302 DATA = 0x130c7cf5e4164ac7 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 +INFO cocotb:simulator.py:302 DATA = 0x15b48600b7db8719 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c60 +INFO cocotb:simulator.py:302 DATA = 0xce9d1c4e9c9498bf +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7c48 +INFO cocotb:simulator.py:302 DATA = 0x59c7eca6549a86a1 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6cf0 +INFO cocotb:simulator.py:302 DATA = 0x2a6f5109be14b5ac +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54a0 +INFO cocotb:simulator.py:302 DATA = 0xa5f3f85a7c018548 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21c0 +INFO cocotb:simulator.py:302 DATA = 0xc9ab8f4d827de5d2 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x240 +INFO cocotb:simulator.py:302 DATA = 0xcba4db6edec404a7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15a8 +INFO cocotb:simulator.py:302 DATA = 0x6949362f08eafdbc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29a8 +INFO cocotb:simulator.py:302 DATA = 0x7cdca6a2e9389490 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3530 +INFO cocotb:simulator.py:302 DATA = 0x9dd7d07f3ec63607 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 +INFO cocotb:simulator.py:302 DATA = 0x7eec5256bb51f571 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5268 +INFO cocotb:simulator.py:302 DATA = 0x7c9f9ef6b2cf23f7 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x230 +INFO cocotb:simulator.py:302 DATA = 0x43920787c5146bd5 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b40 +INFO cocotb:simulator.py:302 DATA = 0x61acd444eb0da118 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 31670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47b0 +INFO cocotb:simulator.py:302 DATA = 0x6a3a87ed5a016c13 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 31710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3878 +INFO cocotb:simulator.py:302 DATA = 0x55fd1b5593667d50 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5690 +INFO cocotb:simulator.py:302 DATA = 0xb0a590c4d199fc55 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x76a8 +INFO cocotb:simulator.py:302 DATA = 0x390cee6c08b07640 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c10 +INFO cocotb:simulator.py:302 DATA = 0xba626cb1f73836c7 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7480 +INFO cocotb:simulator.py:302 DATA = 0x50d020cca25e491f +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c50 +INFO cocotb:simulator.py:302 DATA = 0x4d8f91afb5bbf031 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 31930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6500 +INFO cocotb:simulator.py:302 DATA = 0x1bb551dae0d50844 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 31970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6228 +INFO cocotb:simulator.py:302 DATA = 0x90d99fca3616b01b +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c90 +INFO cocotb:simulator.py:302 DATA = 0x85f85ecc1f907754 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15b8 +INFO cocotb:simulator.py:302 DATA = 0x65fe7cbaaf0e8cfc +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2788 +INFO cocotb:simulator.py:302 DATA = 0x21f939f705854ae6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f78 +INFO cocotb:simulator.py:302 DATA = 0x1b906e2252a987c9 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d0 +INFO cocotb:simulator.py:302 DATA = 0xad45758018f921dc +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28e8 +INFO cocotb:simulator.py:302 DATA = 0xb159315047e39939 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2c40 +INFO cocotb:simulator.py:302 DATA = 0x4cd456514d174f1e +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5dc0 +INFO cocotb:simulator.py:302 DATA = 0xf444d9494a560577 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78a8 +INFO cocotb:simulator.py:302 DATA = 0xd71eda664d5c2303 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d00 +INFO cocotb:simulator.py:302 DATA = 0xa026ed1f61eb434e +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x180 +INFO cocotb:simulator.py:302 DATA = 0xef4b068465e32680 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x6de10568375b8dcd +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1398 +INFO cocotb:simulator.py:302 DATA = 0x78af7253435dcc68 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ee0 +INFO cocotb:simulator.py:302 DATA = 0x2dc60506ecf2f182 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x690 +INFO cocotb:simulator.py:302 DATA = 0xb99cf57821e0e9ae +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33b8 +INFO cocotb:simulator.py:302 DATA = 0x8c71ffad299d5f5a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e8 +INFO cocotb:simulator.py:302 DATA = 0x68338ddef1c7a3d6 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x51d8 +INFO cocotb:simulator.py:302 DATA = 0x1109b8df2a8c4342 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64b8 +INFO cocotb:simulator.py:302 DATA = 0x54f0cb305c696ac2 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7518 +INFO cocotb:simulator.py:302 DATA = 0xc099b808eb0ce83b +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50a8 +INFO cocotb:simulator.py:302 DATA = 0xb49bcebef9b31710 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x68f0 +INFO cocotb:simulator.py:302 DATA = 0x3509fe7ef1ea6b14 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3330 +INFO cocotb:simulator.py:302 DATA = 0x7e23e22d4a02fef3 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16d0 +INFO cocotb:simulator.py:302 DATA = 0xf65d86ce6d769999 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 32710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7038 +INFO cocotb:simulator.py:302 DATA = 0x971975c44658d38 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6fa8 +INFO cocotb:simulator.py:302 DATA = 0xeee030242772d99a +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6018 +INFO cocotb:simulator.py:302 DATA = 0x9b1304f12ea97ec0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 +INFO cocotb:simulator.py:302 DATA = 0x37187a64c339aa8c +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 32870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5070 +INFO cocotb:simulator.py:302 DATA = 0x88043be5509fc81a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bf0 +INFO cocotb:simulator.py:302 DATA = 0xb3feedec2ce0aeba +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 32950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4140 +INFO cocotb:simulator.py:302 DATA = 0x1f153822e35fd08b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 32990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a0 +INFO cocotb:simulator.py:302 DATA = 0x88126643ac23c6f8 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f8 +INFO cocotb:simulator.py:302 DATA = 0x63b6cb86f5fdc69d +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5da0 +INFO cocotb:simulator.py:302 DATA = 0x34b5d440f4b600d8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 33110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3390 +INFO cocotb:simulator.py:302 DATA = 0xc895e72ea424ced9 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54c0 +INFO cocotb:simulator.py:302 DATA = 0xe8a646ab0c5eafbc +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bf8 +INFO cocotb:simulator.py:302 DATA = 0xa4f224ad1ef560e5 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x300 +INFO cocotb:simulator.py:302 DATA = 0xb41d7e126b5f741b +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5900 +INFO cocotb:simulator.py:302 DATA = 0x187a900a31a725b0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f0 +INFO cocotb:simulator.py:302 DATA = 0xdfcf692f68d05752 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x948 +INFO cocotb:simulator.py:302 DATA = 0xa6aca75021172ebd +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 33330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1cf8 +INFO cocotb:simulator.py:302 DATA = 0x171d7a42f99be697 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 +INFO cocotb:simulator.py:302 DATA = 0x6c96b589acc444c3 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33390.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1520 +INFO cocotb:simulator.py:302 DATA = 0x7f5427eebbfeb984 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cf8 +INFO cocotb:simulator.py:302 DATA = 0x486bf4fcbf5128dd +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7850 +INFO cocotb:simulator.py:302 DATA = 0x5873fe5032601a14 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3220 +INFO cocotb:simulator.py:302 DATA = 0xe84b97ed8224c73a +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1408 +INFO cocotb:simulator.py:302 DATA = 0xd3851063c39f54d0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79e0 +INFO cocotb:simulator.py:302 DATA = 0x69c3856f4d20ac88 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a60 +INFO cocotb:simulator.py:302 DATA = 0xbae8794e9bc3fa75 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33f8 +INFO cocotb:simulator.py:302 DATA = 0xf626ccff5bdb3512 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f50 +INFO cocotb:simulator.py:302 DATA = 0x187b091a008ac4a6 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cb0 +INFO cocotb:simulator.py:302 DATA = 0x99dc3ddf8a425821 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 33690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x27a0 +INFO cocotb:simulator.py:302 DATA = 0xd4c6b6cf21ab598d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 33710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4108 +INFO cocotb:simulator.py:302 DATA = 0x5cc0fdd720314e9d +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 33750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xba0 +INFO cocotb:simulator.py:302 DATA = 0x694491b730a35dd9 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2af0 +INFO cocotb:simulator.py:302 DATA = 0x9ffb8e4542e4a077 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40a8 +INFO cocotb:simulator.py:302 DATA = 0xcf5548f7ae27365f +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29f0 +INFO cocotb:simulator.py:302 DATA = 0x17d9187d8d0b10ed +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 33850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42f0 +INFO cocotb:simulator.py:302 DATA = 0x24f0d1be92908d11 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df0 +INFO cocotb:simulator.py:302 DATA = 0x61a7b174148cd709 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 33930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2720 +INFO cocotb:simulator.py:302 DATA = 0x2506db0a65421c72 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 33950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x998 +INFO cocotb:simulator.py:302 DATA = 0xd1885d8ad953efc5 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 33970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7738 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x39b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4cd0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x548 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6088 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6100 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F10 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xE58F6458 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6888 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006888 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2788 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2910 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002788 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x000000C7 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x44d8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000044D8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x6a0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x498 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000006A0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000000F -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x2808 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002808 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x000052BC -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x3b08 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B08 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000E26 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x60f0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000060F0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x2140 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6bb0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002140 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000BF6C -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x3dd8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003DD8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x42594E8E -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 550.00ns INFO cocotb.regression run_test passed -INFO cocotb:simulator.py:302 550.00ns INFO cocotb.regression running run_test_001 (2/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: False -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 34250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x28e8 -INFO cocotb:simulator.py:302 DATA = 0x6f5c8ad6 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000028E8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x6F5C8AD6 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x4748 -INFO cocotb:simulator.py:302 DATA = 0x6cc5dd99 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004748 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x6CC5DD99 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2130 -INFO cocotb:simulator.py:302 DATA = 0xcd5434d7 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002130 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xCD5434D7 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x6ae0 -INFO cocotb:simulator.py:302 DATA = 0x6eb40409 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006AE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x6EB40409 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x69a0 -INFO cocotb:simulator.py:302 DATA = 0xc56bc555 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000069A0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xC56BC555 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x7ce0 -INFO cocotb:simulator.py:302 DATA = 0xd3aaeee5 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xD3AAEEE5 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x1ea8 -INFO cocotb:simulator.py:302 DATA = 0x4277686e -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001EA8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x4277686E -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5158 -INFO cocotb:simulator.py:302 DATA = 0x3f1388ae -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005158 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x3F1388AE -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x6880 -INFO cocotb:simulator.py:302 DATA = 0xc98bd937 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006880 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xC98BD937 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x6e30 -INFO cocotb:simulator.py:302 DATA = 0x49128e05 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006E30 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x49128E05 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ADDR = 0x19a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x28e8 +INFO cocotb:simulator.py:302 ADDR = 0x368 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 34290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6410 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x41d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5238 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5ef0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000028E8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00008AD6 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x4748 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004748 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2130 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002130 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xCD5434D7 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x6ae0 +INFO cocotb:simulator.py:302 34450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3c60 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006AE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x69a0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000069A0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x7ce0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x1ea8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001EA8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000006E -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5158 +INFO cocotb:simulator.py:302 34470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7c48 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005158 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x6880 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006880 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x6e30 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006E30 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1490.00ns INFO cocotb.regression run_test_001 passed -INFO cocotb:simulator.py:302 1490.00ns INFO cocotb.regression running run_test_002 (3/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: True -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 1520.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 1520.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 1520.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 1520.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 1520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f58 -INFO cocotb:simulator.py:302 DATA = 0xdacfc5b6 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 1560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x36d8 -INFO cocotb:simulator.py:302 DATA = 0x3e322dcd -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F58 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xDACFC5B6 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x5e50 -INFO cocotb:simulator.py:302 DATA = 0x35203716 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000036D8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x3E322DCD -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 -INFO cocotb:simulator.py:302 DATA = 0x11d7a432 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x35203716 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 -INFO cocotb:simulator.py:302 DATA = 0x11d7a432 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 1660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2ea8 -INFO cocotb:simulator.py:302 DATA = 0x1bbfba5f -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003798 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x11D7A432 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x7420 -INFO cocotb:simulator.py:302 DATA = 0x4a33049c -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002EA8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x1BBFBA5F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 -INFO cocotb:simulator.py:302 DATA = 0xf0deca09 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007420 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x4A33049C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 -INFO cocotb:simulator.py:302 DATA = 0xf0deca09 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 1730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 -INFO cocotb:simulator.py:302 DATA = 0x84c79489 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BE8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xF0DECA09 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 -INFO cocotb:simulator.py:302 DATA = 0x84c79489 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 1770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x32d8 -INFO cocotb:simulator.py:302 DATA = 0xe5147c27 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000920 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x84C79489 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x63b8 -INFO cocotb:simulator.py:302 DATA = 0xd55a8cb2 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000032D8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xE5147C27 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000063B8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xD55A8CB2 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f58 +INFO cocotb:simulator.py:302 ADDR = 0x6cf0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 1830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x36d8 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x21c0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F58 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x000000B6 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x5e50 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000036D8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x3E322DCD -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 +INFO cocotb:simulator.py:302 34610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x240 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15a8 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 1900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2ea8 +INFO cocotb:simulator.py:302 34650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 34670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3530 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003798 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000A432 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x7420 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002EA8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000BA5F -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007420 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 +INFO cocotb:simulator.py:302 34690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2820 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 2020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 +INFO cocotb:simulator.py:302 34710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5268 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BE8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 +INFO cocotb:simulator.py:302 34750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x230 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4b40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 34810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47b0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 2060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x32d8 +INFO cocotb:simulator.py:302 34850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3878 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 34910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x76a8 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000920 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000089 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x63b8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000032D8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xE5147C27 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000063B8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2120.00ns INFO cocotb.regression run_test_002 passed -INFO cocotb:simulator.py:302 2120.00ns INFO cocotb.regression running run_test_003 (4/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: False -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2150.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 2150.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2150.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2150.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 34950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x4c70 -INFO cocotb:simulator.py:302 DATA = 0x4efbc53b +INFO cocotb:simulator.py:302 ADDR = 0x6c10 +INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004C70 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x4EFBC53B -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6b50 -INFO cocotb:simulator.py:302 DATA = 0x722a520e -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006B50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x722A520E -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x4ee0 -INFO cocotb:simulator.py:302 DATA = 0x7f224354 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004EE0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x7F224354 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0xd68 -INFO cocotb:simulator.py:302 DATA = 0x9f7deae -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000D68 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x09F7DEAE -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x78c0 -INFO cocotb:simulator.py:302 DATA = 0xdefda40c -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000078C0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xDEFDA40C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x4bf0 -INFO cocotb:simulator.py:302 DATA = 0xbe2f550f -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BF0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xBE2F550F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x2128 -INFO cocotb:simulator.py:302 DATA = 0xa2d25a53 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002128 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xA2D25A53 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2400.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5e70 -INFO cocotb:simulator.py:302 DATA = 0x5cbc4831 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E70 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x5CBC4831 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2440.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x5130 -INFO cocotb:simulator.py:302 DATA = 0x9e64774d -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005130 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x9E64774D -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x2530 -INFO cocotb:simulator.py:302 DATA = 0xccb61c68 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002530 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xCCB61C68 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2500.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 34990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x4c70 +INFO cocotb:simulator.py:302 ADDR = 0x7480 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004C70 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2540.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6b50 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006B50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x4ee0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004EE0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0xd68 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000D68 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000DEAE -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x78c0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000078C0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x4bf0 +INFO cocotb:simulator.py:302 35030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4c50 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BF0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x2128 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002128 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00005A53 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2740.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5e70 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E70 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x5130 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005130 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2820.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x2530 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002530 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000068 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2840.00ns INFO cocotb.regression run_test_003 passed -INFO cocotb:simulator.py:302 2840.00ns INFO cocotb.regression running run_test_004 (5/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: True -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2870.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 2870.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2870.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2870.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3b18 -INFO cocotb:simulator.py:302 DATA = 0x236b46fa -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 2890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x58a8 -INFO cocotb:simulator.py:302 DATA = 0x8bb4d79e -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B18 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x236B46FA -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 -INFO cocotb:simulator.py:302 DATA = 0x7cbc3d29 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000058A8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x8BB4D79E -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 -INFO cocotb:simulator.py:302 DATA = 0x7cbc3d29 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 2940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x2960 -INFO cocotb:simulator.py:302 DATA = 0xa3ac436a -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003150 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x7CBC3D29 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x7a50 -INFO cocotb:simulator.py:302 DATA = 0xe4f52562 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002960 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xA3AC436A -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 -INFO cocotb:simulator.py:302 DATA = 0x73368985 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007A50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xE4F52562 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 -INFO cocotb:simulator.py:302 DATA = 0x73368985 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 -INFO cocotb:simulator.py:302 DATA = 0xb5e93e13 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004040 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x73368985 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 -INFO cocotb:simulator.py:302 DATA = 0xb5e93e13 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 3040.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 -INFO cocotb:simulator.py:302 DATA = 0xee850c4e -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000072A8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xB5E93E13 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 -INFO cocotb:simulator.py:302 DATA = 0xee850c4e -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3080.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x4ce0 -INFO cocotb:simulator.py:302 DATA = 0x34057389 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001FB0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xEE850C4E -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0xc09e4ce0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x34057389 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0xc09e4ce0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003C58 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xC09E4CE0 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 35070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3b18 +INFO cocotb:simulator.py:302 ADDR = 0x6500 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 3150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x58a8 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6228 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6c90 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x15b8 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B18 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x000000FA -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3160.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000058A8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 +INFO cocotb:simulator.py:302 35210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2788 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x2960 +INFO cocotb:simulator.py:302 35230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3f78 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003150 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x7CBC3D29 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x7a50 +INFO cocotb:simulator.py:302 35250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54d0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002960 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000436A -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007A50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x28e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2c40 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5dc0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x78a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7d00 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x180 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4680 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1398 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1ee0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x690 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x33b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6e8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x51d8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x64b8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 35690.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7518 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35730.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x50a8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x68f0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 35810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3330 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3260.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 +INFO cocotb:simulator.py:302 35830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x16d0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 35850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7038 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6fa8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 35930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6018 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004040 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 +INFO cocotb:simulator.py:302 35970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x6f58 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 3300.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 +INFO cocotb:simulator.py:302 36010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5070 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000072A8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 +INFO cocotb:simulator.py:302 36050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4bf0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3340.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x4ce0 +INFO cocotb:simulator.py:302 36090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4140 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001FB0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xEE850C4E -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 +INFO cocotb:simulator.py:302 36130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x47a0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003C58 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00004CE0 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3400.01ns INFO cocotb.regression run_test_004 passed -INFO cocotb:simulator.py:302 3400.01ns INFO cocotb.regression ******************************************************************************************************* -INFO cocotb:simulator.py:302 ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** -INFO cocotb:simulator.py:302 ******************************************************************************************************* -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test PASS 550.00 0.12 4745.22 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_001 PASS 940.00 0.11 8241.19 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_002 PASS 630.00 0.13 4904.23 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_003 PASS 720.00 0.09 8170.03 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_004 PASS 560.00 0.10 5527.31 ** -INFO cocotb:simulator.py:302 ******************************************************************************************************* -INFO cocotb:simulator.py:302 ** TESTS=5 PASS=5 FAIL=0 SKIP=0 3400.01 1.04 3264.07 ** -INFO cocotb:simulator.py:302 ******************************************************************************************************* -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 FST info: dumpfile ahb_template.fst opened for output. -INFO cocotb:simulator.py:262 Results file: /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_32_bits/cdy57q0n_results.xml -______________ test_ahb_lite_sram_monitor_scoreboard[data_width1] ______________ -[gw1] linux -- Python 3.10.12 /cocotbext-ahb/.nox/run-3-10/bin/python ------------------------------- Captured log call ------------------------------- -WARNING cocotb:simulator.py:521 Skipping compilation:/cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/ahb_template.vvp -INFO cocotb:simulator.py:330 Running command: vvp -M /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb/libs -m libcocotbvpi_icarus /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/ahb_template.vvp -fst -INFO cocotb:simulator.py:302 -.--ns INFO gpi ..mbed/gpi_embed.cpp:105 in set_program_name_in_venv Using Python virtual environment interpreter at /cocotbext-ahb/.nox/run-3-10/bin/python -INFO cocotb:simulator.py:302 -.--ns INFO gpi ../gpi/GpiCommon.cpp:101 in gpi_print_registered_impl VPI registered -INFO cocotb:simulator.py:302 0.00ns INFO cocotb Running on Icarus Verilog version 11.0 (stable) -INFO cocotb:simulator.py:302 0.00ns INFO cocotb Running tests with cocotb v1.8.1 from /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb -INFO cocotb:simulator.py:302 0.00ns INFO cocotb Seeding Python random module with 1718411035 -INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test -INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_001 -INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_002 -INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_003 -INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression Found test test_ahb_lite_monitor_scoreboard.run_test_004 -INFO cocotb:simulator.py:302 0.00ns INFO cocotb.regression running run_test (1/5) -ERROR cocotb:simulator.py:302 /cocotbext-ahb/.nox/run-3-10/lib/python3.10/site-packages/cocotb_bus/monitors/__init__.py:67: DeprecationWarning: This method is now private. -ERROR cocotb:simulator.py:302 self._thread = cocotb.scheduler.add(self._monitor_recv()) -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 20.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 20.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f10 -INFO cocotb:simulator.py:302 DATA = 0xff5b035a605a9e5a -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F10 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xFF5B035A605A9E5A -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 50.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6888 -INFO cocotb:simulator.py:302 DATA = 0xf8a657efe67ed5aa -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006888 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xF8A657EFE67ED5AA -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 90.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2788 -INFO cocotb:simulator.py:302 DATA = 0x45084e2b02b4b3ac -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002788 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x45084E2B02B4B3AC -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 110.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x44d8 -INFO cocotb:simulator.py:302 DATA = 0x25a99aa0ed27870f -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000044D8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x25A99AA0ED27870F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 150.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x6a0 -INFO cocotb:simulator.py:302 DATA = 0xdbbbb911058ac073 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000006A0 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xDBBBB911058AC073 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x2808 -INFO cocotb:simulator.py:302 DATA = 0x32a352bce1c6854c -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002808 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x32A352BCE1C6854C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x3b08 -INFO cocotb:simulator.py:302 DATA = 0x2b2bf2f48b620e26 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B08 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x2B2BF2F48B620E26 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x60f0 -INFO cocotb:simulator.py:302 DATA = 0x7251e301462fbf6c -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000060F0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x7251E301462FBF6C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x2140 -INFO cocotb:simulator.py:302 DATA = 0x42594e8ec6c04a01 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002140 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x42594E8EC6C04A01 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x3dd8 -INFO cocotb:simulator.py:302 DATA = 0x4aa7d04e4326b6d1 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003DD8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x4AA7D04E4326B6D1 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 290.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ADDR = 0x72f8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36210.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f10 +INFO cocotb:simulator.py:302 ADDR = 0x5da0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F10 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xFF5B035A605A9E5A -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6888 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006888 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2788 +INFO cocotb:simulator.py:302 36250.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3390 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002788 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x000000AC -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x44d8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000044D8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x6a0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x54c0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000006A0 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xDBBBB911058AC073 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 430.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x2808 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002808 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000004C -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x3b08 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B08 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x8B620E26 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x60f0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000060F0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x2140 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x5bf8 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002140 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xC6C04A01 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x3dd8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003DD8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x4AA7D04E4326B6D1 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 550.00ns INFO cocotb.regression run_test passed -INFO cocotb:simulator.py:302 550.00ns INFO cocotb.regression running run_test_001 (2/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: False -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 580.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 36350.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x28e8 -INFO cocotb:simulator.py:302 DATA = 0xb40a49fb97d44592 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000028E8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xB40A49FB97D44592 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x4748 -INFO cocotb:simulator.py:302 DATA = 0x6eb4040910f7e6aa -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004748 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x6EB4040910F7E6AA -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2130 -INFO cocotb:simulator.py:302 DATA = 0xefac9affeb7640a8 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002130 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xEFAC9AFFEB7640A8 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x6ae0 -INFO cocotb:simulator.py:302 DATA = 0x4277686eda259e04 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006AE0 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x4277686EDA259E04 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x69a0 -INFO cocotb:simulator.py:302 DATA = 0x6874074e49128e05 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000069A0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x6874074E49128E05 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 810.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x7ce0 -INFO cocotb:simulator.py:302 DATA = 0x86bcf43d4def01ec -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x86BCF43D4DEF01EC -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 860.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x1ea8 -INFO cocotb:simulator.py:302 DATA = 0x170e1d7520d7ef25 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001EA8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x170E1D7520D7EF25 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5158 -INFO cocotb:simulator.py:302 DATA = 0x91903a828640b46c -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005158 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x91903A828640B46C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x6880 -INFO cocotb:simulator.py:302 DATA = 0x81acf7d4d7c87624 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006880 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x81ACF7D4D7C87624 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x6e30 -INFO cocotb:simulator.py:302 DATA = 0x74a8b0a18b4e4a97 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006E30 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x74A8B0A18B4E4A97 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ADDR = 0x300 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36370.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x28e8 +INFO cocotb:simulator.py:302 ADDR = 0x5900 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000028E8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00004592 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x4748 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004748 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2130 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002130 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xEB7640A8 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x6ae0 +INFO cocotb:simulator.py:302 36410.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x72f0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006AE0 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x69a0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000069A0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x7ce0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x1ea8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001EA8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x170E1D7520D7EF25 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5158 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36450.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x948 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005158 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x6880 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006880 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1420.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x6e30 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006E30 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1480.00ns INFO cocotb.regression run_test_001 passed -INFO cocotb:simulator.py:302 1480.00ns INFO cocotb.regression running run_test_002 (3/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: True -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 1510.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 1510.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 1510.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 1510.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 1510.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 36470.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f58 -INFO cocotb:simulator.py:302 DATA = 0x3e322dcd2f88bec7 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 1530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x36d8 -INFO cocotb:simulator.py:302 DATA = 0xf876003ed86cbf87 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F58 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x3E322DCD2F88BEC7 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1570.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x5e50 -INFO cocotb:simulator.py:302 DATA = 0x11d7a432616b2e44 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000036D8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xF876003ED86CBF87 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1580.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 -INFO cocotb:simulator.py:302 DATA = 0x19711c7c1bbfba5f -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E50 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x11D7A432616B2E44 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1610.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 -INFO cocotb:simulator.py:302 DATA = 0x19711c7c1bbfba5f -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 1640.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2ea8 -INFO cocotb:simulator.py:302 DATA = 0x4a33049cb8311774 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003798 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x19711C7C1BBFBA5F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x7420 -INFO cocotb:simulator.py:302 DATA = 0x3c7746cef0deca09 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002EA8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x4A33049CB8311774 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1680.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 -INFO cocotb:simulator.py:302 DATA = 0x84c79489c8c991e3 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007420 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x3C7746CEF0DECA09 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 -INFO cocotb:simulator.py:302 DATA = 0x84c79489c8c991e3 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 1720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 -INFO cocotb:simulator.py:302 DATA = 0xd12f3a7f0497eb0e -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BE8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x84C79489C8C991E3 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 -INFO cocotb:simulator.py:302 DATA = 0xd12f3a7f0497eb0e -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 1770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x32d8 -INFO cocotb:simulator.py:302 DATA = 0x8019cc5ef22bf28f -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000920 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xD12F3A7F0497EB0E -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1780.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x63b8 -INFO cocotb:simulator.py:302 DATA = 0x65fcb6a171ecc881 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000032D8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x8019CC5EF22BF28F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000063B8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x65FCB6A171ECC881 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ADDR = 0x1cf8 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36490.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3f58 +INFO cocotb:simulator.py:302 ADDR = 0x7dc0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 1840.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x36d8 +INFO cocotb:simulator.py:302 36530.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1520 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003F58 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000BEC7 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x5e50 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000036D8 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000BF87 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1900.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E50 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x3798 +INFO cocotb:simulator.py:302 36550.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cf8 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 1940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x2ea8 +INFO cocotb:simulator.py:302 36590.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7850 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003798 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000BA5F -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1950.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x7420 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002EA8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xB8311774 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1960.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007420 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 1990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x4be8 +INFO cocotb:simulator.py:302 36630.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x3220 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 2000.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36650.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x1408 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BE8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x920 +INFO cocotb:simulator.py:302 36670.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x79e0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 2050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x32d8 +INFO cocotb:simulator.py:302 36710.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x4a60 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000920 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x0000000E -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x63b8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000032D8 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x8019CC5EF22BF28F -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000063B8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2100.00ns INFO cocotb.regression run_test_002 passed -INFO cocotb:simulator.py:302 2100.00ns INFO cocotb.regression running run_test_003 (4/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: False -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2130.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 2130.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2130.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2130.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 36750.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x4c70 -INFO cocotb:simulator.py:302 DATA = 0x722a520eb7f92fd8 +INFO cocotb:simulator.py:302 ADDR = 0x33f8 +INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004C70 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x722A520EB7F92FD8 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6b50 -INFO cocotb:simulator.py:302 DATA = 0xfcdf99d7f224354 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006B50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xFCDF99D7F224354 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2220.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x4ee0 -INFO cocotb:simulator.py:302 DATA = 0x9f7deae9c079f13 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004EE0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x9F7DEAE9C079F13 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2260.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0xd68 -INFO cocotb:simulator.py:302 DATA = 0x41da9d8defda40c -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000D68 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x41DA9D8DEFDA40C -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x78c0 -INFO cocotb:simulator.py:302 DATA = 0xbe2f550f86d3c52f -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000078C0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xBE2F550F86D3C52F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x4bf0 -INFO cocotb:simulator.py:302 DATA = 0x991025da2d25a53 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BF0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x991025DA2D25A53 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x2128 -INFO cocotb:simulator.py:302 DATA = 0x8bdbdc9a35a66aa2 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002128 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x8BDBDC9A35A66AA2 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2380.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5e70 -INFO cocotb:simulator.py:302 DATA = 0x52f563fd8b52ffb8 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E70 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x52F563FD8B52FFB8 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2420.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x5130 -INFO cocotb:simulator.py:302 DATA = 0xcc4fd9ea4e076525 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005130 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xCC4FD9EA4E076525 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2460.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x2530 -INFO cocotb:simulator.py:302 DATA = 0x44c28ebba23ae122 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002530 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x44C28EBBA23AE122 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2480.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 36770.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x4c70 +INFO cocotb:simulator.py:302 ADDR = 0x3f50 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004C70 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2520.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x6b50 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00006B50 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2560.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x4ee0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004EE0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2600.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0xd68 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00000D68 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xDEFDA40C -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2620.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x78c0 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000078C0 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2660.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 10 -INFO cocotb:simulator.py:302 ADDR = 0x4bf0 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36790.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7cb0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004BF0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2700.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 12 -INFO cocotb:simulator.py:302 ADDR = 0x2128 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002128 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x35A66AA2 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2720.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 14 -INFO cocotb:simulator.py:302 ADDR = 0x5e70 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005E70 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2760.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 16 -INFO cocotb:simulator.py:302 ADDR = 0x5130 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00005130 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2800.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 18 -INFO cocotb:simulator.py:302 ADDR = 0x2530 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002530 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xA23AE122 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2820.00ns INFO cocotb.regression run_test_003 passed -INFO cocotb:simulator.py:302 2820.00ns INFO cocotb.regression running run_test_004 (5/5) -INFO cocotb:simulator.py:302 Automatically generated test -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 bp_fn: -INFO cocotb:simulator.py:302 pip_mode: True -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.monitor.AHBMonitor AHB (ahb_monitor) Monitor -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.monitor.AHBMonitor cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.monitor.AHBMonitor Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.monitor.AHBMonitor https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2850.00ns INFO ..b.ahb_lite_ram.None.ahb_template AHB (ahb_lite_ram) slave -INFO cocotb:simulator.py:302 2850.00ns INFO ..b.ahb_lite_ram.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2850.00ns INFO ..b.ahb_lite_ram.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2850.00ns INFO ..b.ahb_lite_ram.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB (ahb_lite) master -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template cocotbext-ahb version 0.2.7 -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template Copyright (c) 2024 Anderson Ignacio da Silva -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template https://github.com/aignacio/cocotbext-ahb -INFO cocotb:simulator.py:302 2850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 36830.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3b18 -INFO cocotb:simulator.py:302 DATA = 0xb4adf8a9d9f4a6f9 -INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 2870.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x58a8 -INFO cocotb:simulator.py:302 DATA = 0xa3ac436a119d08ac -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B18 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xB4ADF8A9D9F4A6F9 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2880.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 -INFO cocotb:simulator.py:302 DATA = 0x3df46d48e4f52562 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000058A8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xA3AC436A119D08AC -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 -INFO cocotb:simulator.py:302 DATA = 0x3df46d48e4f52562 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 2920.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x2960 -INFO cocotb:simulator.py:302 DATA = 0x73368985a4e94733 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003150 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x3DF46D48E4F52562 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x7a50 -INFO cocotb:simulator.py:302 DATA = 0xee850c4e4b8ef07b -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002960 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x73368985A4E94733 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2940.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 -INFO cocotb:simulator.py:302 DATA = 0x7b802799b4a31d00 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007A50 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0xEE850C4E4B8EF07B -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 2970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 -INFO cocotb:simulator.py:302 DATA = 0x7b802799b4a31d00 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 2980.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 -INFO cocotb:simulator.py:302 DATA = 0x5823d7ce929a33f -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004040 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x7B802799B4A31D00 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3010.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 -INFO cocotb:simulator.py:302 DATA = 0x5823d7ce929a33f -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3020.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 -INFO cocotb:simulator.py:302 DATA = 0xb1358203edfb1fb3 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000072A8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x5823D7CE929A33F -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3050.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 -INFO cocotb:simulator.py:302 DATA = 0xb1358203edfb1fb3 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3060.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x4ce0 -INFO cocotb:simulator.py:302 DATA = 0x91d726c55ad6b759 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001FB0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xB1358203EDFB1FB3 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0xe593ccf2ed296908 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x91D726C55AD6B759 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3100.00ns INFO cocotb.ahb_lite.None.ahb_template AHB write txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0xe593ccf2ed296908 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003C58 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Write (0x1) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0xE593CCF2ED296908 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3120.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ADDR = 0x27a0 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 36850.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: INFO cocotb:simulator.py:302 ID = 0 -INFO cocotb:simulator.py:302 ADDR = 0x3b18 +INFO cocotb:simulator.py:302 ADDR = 0x4108 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 8 bytes -INFO cocotb:simulator.py:302 3130.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 1 -INFO cocotb:simulator.py:302 ADDR = 0x58a8 +INFO cocotb:simulator.py:302 36890.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0xba0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003B18 -INFO cocotb:simulator.py:302 Size: 8 bytes (0x003) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xB4ADF8A9D9F4A6F9 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3140.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000058A8 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3170.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 2 -INFO cocotb:simulator.py:302 ADDR = 0x3150 +INFO cocotb:simulator.py:302 SIZE = 2 bytes +INFO cocotb:simulator.py:302 36910.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2af0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 3180.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 3 -INFO cocotb:simulator.py:302 ADDR = 0x2960 +INFO cocotb:simulator.py:302 SIZE = 4 bytes +INFO cocotb:simulator.py:302 36930.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x40a8 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003150 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000062 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3190.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 4 -INFO cocotb:simulator.py:302 ADDR = 0x7a50 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00002960 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000033 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3200.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00007A50 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3230.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 5 -INFO cocotb:simulator.py:302 ADDR = 0x4040 +INFO cocotb:simulator.py:302 36970.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x29f0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 2 bytes -INFO cocotb:simulator.py:302 3240.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004040 -INFO cocotb:simulator.py:302 Size: 2 bytes (0x001) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3270.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 6 -INFO cocotb:simulator.py:302 ADDR = 0x72a8 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3280.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 +INFO cocotb:simulator.py:302 36990.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x42f0 INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x000072A8 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3310.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 7 -INFO cocotb:simulator.py:302 ADDR = 0x1fb0 +INFO cocotb:simulator.py:302 SIZE = 1 bytes +INFO cocotb:simulator.py:302 37030.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x7df0 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 4 bytes -INFO cocotb:simulator.py:302 3320.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 8 -INFO cocotb:simulator.py:302 ADDR = 0x4ce0 +INFO cocotb:simulator.py:302 37070.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x2720 INFO cocotb:simulator.py:302 DATA = 0x0 INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00001FB0 -INFO cocotb:simulator.py:302 Size: 4 bytes (0x002) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0xEDFB1FB3 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3330.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00004CE0 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: ERROR (0x01) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000000 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3360.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: -INFO cocotb:simulator.py:302 ID = 9 -INFO cocotb:simulator.py:302 ADDR = 0x3c58 -INFO cocotb:simulator.py:302 DATA = 0x0 -INFO cocotb:simulator.py:302 SIZE = 1 bytes -INFO cocotb:simulator.py:302 AHBTxn Details: -INFO cocotb:simulator.py:302 Address: 0x00003C58 -INFO cocotb:simulator.py:302 Size: 1 bytes (0x000) -INFO cocotb:simulator.py:302 Mode: Read (0x0) -INFO cocotb:simulator.py:302 Response: OKAY (0x00) -INFO cocotb:simulator.py:302 Write Data: 0x00000000 -INFO cocotb:simulator.py:302 Read Data: 0x00000008 -INFO cocotb:simulator.py:302 -INFO cocotb:simulator.py:302 3380.01ns INFO cocotb.regression run_test_004 passed -INFO cocotb:simulator.py:302 3380.01ns INFO cocotb.regression ******************************************************************************************************* +INFO cocotb:simulator.py:302 37090.00ns INFO cocotb.ahb_lite.None.ahb_template AHB read txn: +INFO cocotb:simulator.py:302 ID = 0 +INFO cocotb:simulator.py:302 ADDR = 0x998 +INFO cocotb:simulator.py:302 DATA = 0x0 +INFO cocotb:simulator.py:302 SIZE = 8 bytes +INFO cocotb:simulator.py:302 37110.00ns INFO cocotb.regression run_test_004 passed +INFO cocotb:simulator.py:302 37110.00ns INFO cocotb.regression ******************************************************************************************************* INFO cocotb:simulator.py:302 ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** INFO cocotb:simulator.py:302 ******************************************************************************************************* -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test PASS 550.00 0.12 4593.90 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_001 PASS 930.00 0.12 8064.19 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_002 PASS 620.00 0.13 4866.20 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_003 PASS 720.00 0.09 8321.13 ** -INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_004 PASS 560.00 0.10 5593.68 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test PASS 6030.00 1.06 5680.68 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_001 PASS 9400.00 1.73 5424.82 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_002 PASS 9440.00 1.61 5875.11 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_003 PASS 5920.00 1.51 3913.15 ** +INFO cocotb:simulator.py:302 ** test_ahb_lite_monitor_scoreboard.run_test_004 PASS 6320.00 1.07 5890.98 ** INFO cocotb:simulator.py:302 ******************************************************************************************************* -INFO cocotb:simulator.py:302 ** TESTS=5 PASS=5 FAIL=0 SKIP=0 3380.01 1.04 3243.58 ** +INFO cocotb:simulator.py:302 ** TESTS=5 PASS=5 FAIL=0 SKIP=0 37110.00 7.62 4872.64 ** INFO cocotb:simulator.py:302 ******************************************************************************************************* INFO cocotb:simulator.py:302 INFO cocotb:simulator.py:302 FST info: dumpfile ahb_template.fst opened for output. -INFO cocotb:simulator.py:262 Results file: /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/d32totnp_results.xml +INFO cocotb:simulator.py:262 Results file: /cocotbext-ahb/run_dir/sim_build_icarus_test_ahb_lite_monitor_scoreboard_data_width_64_bits/780ua397_results.xml ---------- coverage: platform linux, python 3.10.12-final-0 ---------- Coverage XML written to file coverage.xml -============================== 2 passed in 5.49s =============================== +============================== 2 passed in 11.44s ============================== diff --git a/tests/test_ahb_lite_monitor_scoreboard.py b/tests/test_ahb_lite_monitor_scoreboard.py index 90c4b76..971b05c 100644 --- a/tests/test_ahb_lite_monitor_scoreboard.py +++ b/tests/test_ahb_lite_monitor_scoreboard.py @@ -89,33 +89,43 @@ def _convert_size(value) -> AHBTrans: if (2**hsize.value) == value: return hsize - txn_list = [] - for mode in [AHBWrite.READ, AHBWrite.WRITE]: - for addr, sz, val, ex in zip(address, size, value, expected): - txn = AHBTxn( - int(addr), - AHBSize(_convert_size(sz)), - mode, - ex["resp"], - int(val), - int(ex["data"], 16), - ) - - txn_list.append(txn) - # exp_data = int(ex["data"],16) #struct.pack("I", int(ex["data"],16)) - # txn_list.append(exp_data) + write_txn = [] + read_txn = [] - return address, value, size, expected, txn_list + for mode in [AHBWrite.READ, AHBWrite.WRITE]: + if mode == AHBWrite.WRITE: + for addr, sz, val, ex in zip(address, size, value, expected): + txn = AHBTxn( + int(addr), + AHBSize(_convert_size(sz)), + mode, + ex["resp"], + int(val), + 0, + ) + + write_txn.append(txn) + else: + for addr, sz, val, ex in zip(address, size, value, expected): + txn = AHBTxn( + int(addr), + AHBSize(_convert_size(sz)), + mode, + ex["resp"], + 0, + int(ex["data"], 16), + ) + read_txn.append(txn) -def txn_recv(txn): - print(txn) + return address, value, size, expected, write_txn, read_txn @cocotb.test() async def run_test(dut, bp_fn=None, pip_mode=False): mem_size_kib = 16 - N = 10 + N = 100 + expected_output = [] ahb_bus_slave = AHBBus.from_entity(dut) @@ -124,16 +134,12 @@ async def run_test(dut, bp_fn=None, pip_mode=False): await setup_dut(dut, cfg.RST_CYCLES) ahb_lite_mon = AHBMonitor( - ahb_bus_slave, dut.hclk, dut.hresetn, "ahb_monitor", callback=txn_recv + ahb_bus_slave, dut.hclk, dut.hresetn, "ahb_monitor" # , callback=txn_recv ) - type(ahb_lite_mon) - - # scoreboard = Scoreboard(dut, fail_immediately=True) + scoreboard = Scoreboard(dut, fail_immediately=True) - # expected_output = [] - - # scoreboard.add_interface(ahb_lite_mon, expected_output) + scoreboard.add_interface(ahb_lite_mon, expected_output) ahb_lite_sram = AHBLiteSlaveRAM( AHBBus.from_entity(dut), @@ -151,27 +157,22 @@ async def run_test(dut, bp_fn=None, pip_mode=False): AHBBus.from_entity(dut), dut.hclk, dut.hresetn, def_val="Z" ) - address, value, size, expected, txn = get_random_txn(mem_size_kib, data_width, N) - - # expected_output.extend(txn) - - # print(f"LEN >>>>> {len(txn)}") - # Perform the writes and reads - resp = await ahb_lite_master.write(address, value, size, pip=pip_mode) - resp = await ahb_lite_master.read(address, size, pip=pip_mode) + # Generate random transactions and its results to compare in the scoreboard + address, value, size, expected, wr_txn, rd_txn = get_random_txn( + mem_size_kib, data_width, N + ) - type(resp) + for mode in [AHBWrite.WRITE, AHBWrite.READ]: + if mode == AHBWrite.WRITE: + for addr, val, sz, tx in zip(address, value, size, wr_txn): + expected_output.append(tx) + await ahb_lite_master.write(addr, val, sz, pip=pip_mode) + else: + for addr, val, sz, tx in zip(address, value, size, rd_txn): + expected_output.append(tx) + await ahb_lite_master.read(addr, sz, pip=pip_mode) - # Compare all txns - for index, (real, expect) in enumerate(zip(resp, expected)): - if real != expect: - print("------ERROR------") - print(f"Txn ID: {index}") - print("DUT") - print(real) - print("Expected") - print(expect) - assert real == expect, "DUT != Expected" + raise scoreboard.result if cocotb.SIM_NAME: @@ -186,7 +187,7 @@ async def run_test(dut, bp_fn=None, pip_mode=False): @pytest.mark.parametrize("data_width", [{"DATA_WIDTH": "32"}, {"DATA_WIDTH": "64"}]) def test_ahb_lite_sram_monitor_scoreboard(data_width): """ - Test AHB lite SRAM to check monitor txns + Test AHB lite SRAM to check monitor txns, using a scoreboard to compare both Test ID: 8 """