From dac9fc4144debc3094611ad36d85dce18201e7b8 Mon Sep 17 00:00:00 2001 From: Anderson Ignacio da Silva Date: Tue, 18 Jun 2024 23:06:29 +0100 Subject: [PATCH] Update gh scripts Signed-off-by: Anderson Ignacio da Silva --- .github/workflows/lint.yaml | 5 ++--- .github/workflows/test.yaml | 7 +++---- 2 files changed, 5 insertions(+), 7 deletions(-) diff --git a/.github/workflows/lint.yaml b/.github/workflows/lint.yaml index 5af7379..87d4ab0 100644 --- a/.github/workflows/lint.yaml +++ b/.github/workflows/lint.yaml @@ -13,7 +13,6 @@ on: jobs: lint: runs-on: ubuntu-22.04 - id: lint_job strategy: matrix: @@ -22,9 +21,9 @@ jobs: steps: - name: Check out code - uses: actions/checkout@v2 + uses: actions/checkout@v3 - name: Set up Python ${{ matrix.python-version }} - uses: actions/setup-python@v2 + uses: actions/setup-python@v3 with: python-version: ${{ matrix.python-version }} diff --git a/.github/workflows/test.yaml b/.github/workflows/test.yaml index e4ba87c..4d45fc3 100644 --- a/.github/workflows/test.yaml +++ b/.github/workflows/test.yaml @@ -14,8 +14,7 @@ on: jobs: tests: runs-on: ubuntu-22.04 - needs: lint_job # Depends on lint job from lint.yaml - id: regression_job + needs: lint strategy: matrix: @@ -26,13 +25,13 @@ jobs: steps: - name: Check out code - uses: actions/checkout@v2 + uses: actions/checkout@v3 - name: Install Icarus and its requirements run: | sudo apt update sudo apt-get install build-essential libboost-dev iverilog verilator - name: Set up Python ${{ matrix.python-version }} - uses: actions/setup-python@v2 + uses: actions/setup-python@v3 with: python-version: ${{ matrix.python-version }}