Skip to content

Vivado中的 Xsim 與 Modelsim 模擬結果不一樣 #113

Unanswered
yushuodev asked this question in Q&A
Discussion options

You must be logged in to vote

Replies: 1 comment

Comment options

You must be logged in to vote
0 replies
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Category
Q&A
Labels
None yet
2 participants