Skip to content

UART功能在模擬時與上FPGA時不一致 #160

Unanswered
stage0831 asked this question in Q&A
Discussion options

You must be logged in to vote

Replies: 1 comment 7 replies

Comment options

You must be logged in to vote
7 replies
@Wil0408
Comment options

@stage0831
Comment options

@stage0831
Comment options

@alexlu0414
Comment options

@Wil0408
Comment options

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Category
Q&A
Labels
None yet
4 participants