// // Written by Synplify Pro // Product Version "N-2018.03" // Program "Synplify Pro", Mapper "map2018q1p1, Build 014R" // Mon Apr 16 15:28:59 2018 // // Source file index table: // Object locations will have the form : // file 0 "\c:\synopsys\fpga_n-2018.03\lib\vhd\std.vhd " // file 1 "\c:\synopsys\fpga_n-2018.03\lib\vhd\snps_haps_pkg.vhd " // file 2 "\c:\synopsys\fpga_n-2018.03\lib\vhd\std1164.vhd " // file 3 "\c:\synopsys\fpga_n-2018.03\lib\vhd\numeric.vhd " // file 4 "\c:\synopsys\fpga_n-2018.03\lib\vhd\umr_capim.vhd " // file 5 "\c:\synopsys\fpga_n-2018.03\lib\vhd\arith.vhd " // file 6 "\c:\synopsys\fpga_n-2018.03\lib\vhd\unsigned.vhd " // file 7 "\c:\synopsys\fpga_n-2018.03\lib\vhd\hyperents.vhd " // file 8 "\c:\users\akeller9\synplify\b13_2018\b13.vhd " // file 9 "\c:\synopsys\fpga_n-2018.03\lib\vhd\numeric_bit.vhd " // file 10 "\c:\synopsys\fpga_n-2018.03\lib\nlconst.dat " // VQM4.1+ module b13 ( reset, eoc, soc, load_dato, add_mpx2, canale, mux_en, clock, data_in, dsr, error, data_out ) ; input reset ; input eoc ; output soc ; output load_dato ; output add_mpx2 ; output [3:0] canale ; output mux_en ; input clock ; input [7:0] data_in ; input dsr ; output error ; output data_out ; wire reset ; wire eoc ; wire soc ; wire load_dato ; wire add_mpx2 ; wire mux_en ; wire clock ; wire dsr ; wire error ; wire data_out ; wire [6:6] tx_conta_lm_0_RNO_cout; wire [7:0] S1; wire [0:0] canale_i; wire [6:0] S1_ns; wire [1:0] S2; wire [2:1] canale_1_RNO_combout; wire [7:0] out_rege_0; wire [1:0] itfc_state; wire [1:0] itfc_state_ns; wire [7:0] out_reg; wire [0:0] next_bit_RNO_0_combout; wire [6:1] tx_conta_s; wire [3:0] next_bit; wire [3:0] next_bite_0; wire [6:0] tx_conta; wire [6:0] tx_conta_lm; wire [5:1] tx_conta_cry; wire NC84 ; wire NC85 ; wire NC86 ; wire NC87 ; wire NC88 ; wire NC89 ; wire GND ; wire NC91 ; wire NC102 ; wire NC104 ; wire NC105 ; wire NC106 ; wire NC107 ; wire NC108 ; wire NC109 ; wire NC110 ; wire NC111 ; wire VCC ; wire NC113 ; wire NC114 ; wire NC115 ; wire NC116 ; wire NC117 ; wire NC118 ; wire reset_i ; wire NC0 ; wire NC1 ; wire NC2 ; wire NC3 ; wire NC4 ; wire NC5 ; wire NC6 ; wire NC7 ; wire NC8 ; wire NC9 ; wire NC10 ; wire NC11 ; wire NC12 ; wire NC13 ; wire N_4 ; wire N_5 ; wire N_6 ; wire N_7 ; wire NC18 ; wire NC19 ; wire NC20 ; wire N_37 ; wire N_38 ; wire N_39 ; wire N_40 ; wire N_41 ; wire N_42 ; wire N_43 ; wire N_44 ; wire N_45 ; wire N_46 ; wire NC31 ; wire NC32 ; wire NC33 ; wire NC34 ; wire NC35 ; wire N_93 ; wire N_94 ; wire N_95 ; wire N_96 ; wire NC40 ; wire NC41 ; wire N_129 ; wire N_130 ; wire N_131 ; wire N_132 ; wire N_133 ; wire N_134 ; wire N_135 ; wire N_136 ; wire NC50 ; wire NC51 ; wire NC52 ; wire NC53 ; wire NC54 ; wire NC55 ; wire NC56 ; wire NC57 ; wire NC58 ; wire NC59 ; wire NC60 ; wire NC61 ; wire NC62 ; wire NC63 ; wire NC64 ; wire NC65 ; wire NC66 ; wire NC67 ; wire NC68 ; wire NC69 ; wire NC70 ; wire NC71 ; wire NC72 ; wire NC73 ; wire NC74 ; wire NC75 ; wire NC76 ; wire NC77 ; wire NC78 ; wire NC79 ; wire NC80 ; wire NC81 ; wire NC82 ; wire NC83 ; wire NC205 ; wire NC206 ; wire NC207 ; wire NC208 ; wire NC209 ; wire NC210 ; wire NC90 ; wire NC212 ; wire NC92 ; wire NC93 ; wire NC94 ; wire NC95 ; wire NC96 ; wire NC97 ; wire NC98 ; wire NC99 ; wire NC100 ; wire NC101 ; wire NC223 ; wire NC103 ; wire NC225 ; wire NC226 ; wire NC227 ; wire NC228 ; wire NC229 ; wire NC230 ; wire NC231 ; wire NC232 ; wire NC112 ; wire NC234 ; wire NC235 ; wire NC236 ; wire NC237 ; wire NC238 ; wire NC239 ; wire NC119 ; wire NC120 ; wire NC121 ; wire NC122 ; wire NC123 ; wire NC124 ; wire NC125 ; wire NC126 ; wire NC127 ; wire NC128 ; wire NC129 ; wire NC130 ; wire NC131 ; wire NC132 ; wire NC133 ; wire NC134 ; wire NC14 ; wire NC15 ; wire NC16 ; wire NC17 ; wire NC139 ; wire NC140 ; wire NC141 ; wire NC21 ; wire NC22 ; wire NC23 ; wire NC24 ; wire NC25 ; wire NC26 ; wire NC27 ; wire NC28 ; wire NC29 ; wire NC30 ; wire NC152 ; wire NC153 ; wire NC154 ; wire NC155 ; wire NC156 ; wire NC36 ; wire NC37 ; wire NC38 ; wire NC39 ; wire NC161 ; wire NC162 ; wire NC42 ; wire NC43 ; wire NC44 ; wire NC45 ; wire NC46 ; wire NC47 ; wire NC48 ; wire NC49 ; wire NC171 ; wire NC172 ; wire NC173 ; wire NC174 ; wire NC175 ; wire NC176 ; wire NC177 ; wire NC178 ; wire NC179 ; wire NC180 ; wire NC181 ; wire NC182 ; wire NC183 ; wire NC184 ; wire NC185 ; wire NC186 ; wire NC187 ; wire NC188 ; wire NC189 ; wire NC190 ; wire NC191 ; wire NC192 ; wire NC193 ; wire NC194 ; wire NC195 ; wire NC196 ; wire NC197 ; wire NC198 ; wire NC199 ; wire NC200 ; wire NC201 ; wire NC202 ; wire NC203 ; wire NC204 ; wire NC326 ; wire NC327 ; wire NC328 ; wire NC329 ; wire NC330 ; wire NC331 ; wire NC211 ; wire NC333 ; wire NC213 ; wire NC214 ; wire NC215 ; wire NC216 ; wire NC217 ; wire NC218 ; wire NC219 ; wire NC220 ; wire NC221 ; wire NC222 ; wire NC344 ; wire NC224 ; wire NC346 ; wire NC347 ; wire NC348 ; wire NC349 ; wire NC350 ; wire NC351 ; wire NC352 ; wire NC353 ; wire NC233 ; wire NC355 ; wire NC356 ; wire NC357 ; wire NC358 ; wire NC359 ; wire NC360 ; wire NC240 ; wire NC241 ; wire NC242 ; wire NC243 ; wire NC244 ; wire NC245 ; wire NC246 ; wire NC247 ; wire NC248 ; wire NC249 ; wire NC250 ; wire NC251 ; wire NC252 ; wire NC253 ; wire NC254 ; wire NC255 ; wire NC135 ; wire NC136 ; wire NC137 ; wire NC138 ; wire NC260 ; wire NC261 ; wire NC262 ; wire NC142 ; wire NC143 ; wire NC144 ; wire NC145 ; wire NC146 ; wire NC147 ; wire NC148 ; wire NC149 ; wire NC150 ; wire NC151 ; wire NC273 ; wire NC274 ; wire NC275 ; wire NC276 ; wire NC277 ; wire NC157 ; wire NC158 ; wire NC159 ; wire NC160 ; wire NC282 ; wire NC283 ; wire NC163 ; wire NC164 ; wire NC165 ; wire NC166 ; wire NC167 ; wire NC168 ; wire NC169 ; wire NC170 ; wire NC292 ; wire NC293 ; wire NC294 ; wire NC295 ; wire NC296 ; wire NC297 ; wire NC298 ; wire NC299 ; wire NC300 ; wire NC301 ; wire NC302 ; wire NC303 ; wire NC304 ; wire NC305 ; wire NC306 ; wire NC307 ; wire NC308 ; wire NC309 ; wire NC310 ; wire NC311 ; wire NC312 ; wire NC313 ; wire NC314 ; wire NC315 ; wire NC316 ; wire NC317 ; wire NC318 ; wire NC319 ; wire NC320 ; wire NC321 ; wire NC322 ; wire NC323 ; wire NC324 ; wire NC325 ; wire NC447 ; wire NC448 ; wire NC449 ; wire NC450 ; wire NC451 ; wire NC452 ; wire NC332 ; wire NC454 ; wire NC334 ; wire NC335 ; wire NC336 ; wire NC337 ; wire NC338 ; wire NC339 ; wire NC340 ; wire NC341 ; wire NC342 ; wire NC343 ; wire NC465 ; wire NC345 ; wire NC467 ; wire NC468 ; wire NC469 ; wire NC470 ; wire NC471 ; wire NC472 ; wire NC473 ; wire NC474 ; wire NC354 ; wire NC476 ; wire NC477 ; wire NC478 ; wire NC479 ; wire NC480 ; wire NC481 ; wire NC361 ; wire NC362 ; wire NC363 ; wire NC364 ; wire NC365 ; wire NC366 ; wire NC367 ; wire NC368 ; wire NC369 ; wire NC370 ; wire NC371 ; wire NC372 ; wire NC373 ; wire NC374 ; wire NC375 ; wire NC376 ; wire NC256 ; wire NC257 ; wire NC258 ; wire NC259 ; wire NC381 ; wire NC382 ; wire NC383 ; wire NC263 ; wire NC264 ; wire NC265 ; wire NC266 ; wire NC267 ; wire NC268 ; wire NC269 ; wire NC270 ; wire NC271 ; wire NC272 ; wire NC394 ; wire NC395 ; wire NC396 ; wire NC397 ; wire NC398 ; wire NC278 ; wire NC279 ; wire NC280 ; wire NC281 ; wire NC403 ; wire NC404 ; wire NC284 ; wire NC285 ; wire NC286 ; wire NC287 ; wire NC288 ; wire NC289 ; wire NC290 ; wire NC291 ; wire NC413 ; wire NC414 ; wire NC415 ; wire NC416 ; wire NC417 ; wire NC418 ; wire NC419 ; wire NC420 ; wire NC421 ; wire NC422 ; wire NC423 ; wire NC424 ; wire NC425 ; wire NC426 ; wire NC427 ; wire NC428 ; wire NC429 ; wire NC430 ; wire NC431 ; wire NC432 ; wire NC433 ; wire NC434 ; wire NC435 ; wire NC436 ; wire NC437 ; wire NC438 ; wire NC439 ; wire NC440 ; wire NC441 ; wire NC442 ; wire NC443 ; wire NC444 ; wire NC445 ; wire NC446 ; wire NC406 ; wire NC407 ; wire NC408 ; wire NC409 ; wire NC410 ; wire NC411 ; wire NC453 ; wire NC386 ; wire NC455 ; wire NC456 ; wire NC457 ; wire NC458 ; wire NC459 ; wire NC460 ; wire NC461 ; wire NC462 ; wire NC463 ; wire NC464 ; wire NC384 ; wire NC466 ; wire NC399 ; wire NC387 ; wire NC388 ; wire NC389 ; wire NC390 ; wire NC391 ; wire NC392 ; wire NC393 ; wire NC475 ; wire NC412 ; wire NC400 ; wire NC482 ; wire NC483 ; wire NC484 ; wire NC377 ; wire NC405 ; wire NC379 ; wire NC380 ; wire NC385 ; wire NC378 ; wire NC401 ; wire NC402 ; wire load_dato_0 ; wire confirm ; wire soc_0 ; wire error_0 ; wire send_data_0 ; wire shot ; wire send_data ; wire send_en_1_sqmuxa ; wire add_mpx2_0 ; wire rdy ; wire rdy_0 ; wire mpx ; wire send_0 ; wire load_0 ; wire confirm_0 ; wire N_24_i ; wire shot_0 ; wire mpx_0 ; wire N_26_i ; wire S1_i ; wire mux_en_0 ; wire load ; wire tre_0 ; wire send_en ; wire data_out_11_1 ; wire tx_end ; wire tre ; wire g1 ; wire g1_0 ; wire send ; wire N_12 ; wire N_152 ; wire N_153 ; wire tx_end_3 ; wire un10_send_enlto4_d ; wire N_90 ; wire data_out_11 ; wire un10_send_enlto4_0_1 ; //@0:1 assign VCC = 1'b1; //@0:1 assign GND = 1'b0; assign reset_i = ~ reset; // @8:82 fourteennm_ff S1_6_ ( .q(S1[6]), .d(S1[7]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_6_.is_wysiwyg="TRUE"; assign canale_i[0] = ~ canale[0]; // @8:82 fourteennm_ff S1_3_ ( .q(S1[3]), .d(S1[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_3_.is_wysiwyg="TRUE"; // @8:82 fourteennm_lcell_comb S1_ns_5_ ( .combout(S1_ns[5]), .datad(GND), .datac(S1[2]), .datab(S1[5]), .dataa(eoc) ); defparam S1_ns_5_.lut_mask=64'hecececececececec; defparam S1_ns_5_.extended_lut="off"; // @8:82 fourteennm_ff load_dato_Z ( .q(load_dato), .d(load_dato_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam load_dato_Z.is_wysiwyg="TRUE"; // @8:82 fourteennm_lcell_comb load_dato_e ( .combout(load_dato_0), .datad(S1[1]), .datac(S1[2]), .datab(load_dato), .dataa(eoc) ); defparam load_dato_e.lut_mask=64'hf0dcf0dcf0dcf0dc; defparam load_dato_e.extended_lut="off"; // @8:82 fourteennm_ff S1_5_ ( .q(S1[5]), .d(S1[6]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_5_.is_wysiwyg="TRUE"; // @8:82 fourteennm_ff S1_0_ ( .q(S1[0]), .d(S1[1]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_0_.is_wysiwyg="TRUE"; // @8:82 fourteennm_ff soc_Z ( .q(soc), .d(soc_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam soc_Z.is_wysiwyg="TRUE"; // @8:82 fourteennm_lcell_comb soc_e ( .combout(soc_0), .datad(GND), .datac(S1[1]), .datab(soc), .dataa(S1[5]) ); defparam soc_e.lut_mask=64'haeaeaeaeaeaeaeae; defparam soc_e.extended_lut="off"; // @8:217 fourteennm_ff error_Z ( .q(error), .d(error_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam error_Z.is_wysiwyg="TRUE"; // @8:217 fourteennm_lcell_comb error_e ( .combout(error_0), .datae(tre), .datad(load), .datac(send), .datab(error), .dataa(dsr) ); defparam error_e.lut_mask=64'h5f5cf0fc5f5cf0fc; defparam error_e.extended_lut="off"; // @8:82 fourteennm_lcell_comb S1_ns_3_ ( .combout(S1_ns[3]), .datad(GND), .datac(rdy), .datab(S1[4]), .dataa(S1[3]) ); defparam S1_ns_3_.lut_mask=64'haeaeaeaeaeaeaeae; defparam S1_ns_3_.extended_lut="off"; // @8:113 fourteennm_lcell_comb canale_1_RNO_2_ ( .combout(canale_1_RNO_combout[2]), .datad(GND), .datac(canale[0]), .datab(canale[1]), .dataa(canale[2]) ); defparam canale_1_RNO_2_.lut_mask=64'h6a6a6a6a6a6a6a6a; defparam canale_1_RNO_2_.extended_lut="off"; // @8:82 fourteennm_lcell_comb send_data_e ( .combout(send_data_0), .datad(rdy), .datac(send_data), .datab(S1[4]), .dataa(S1[0]) ); defparam send_data_e.lut_mask=64'hbafabafabafabafa; defparam send_data_e.extended_lut="off"; // @8:82 fourteennm_ff canale_1_2_ ( .q(canale[2]), .d(canale_1_RNO_combout[2]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(S1[1]) ); defparam canale_1_2_.is_wysiwyg="TRUE"; // @8:82 fourteennm_ff S1_4_ ( .q(S1[4]), .d(S1_ns[3]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_4_.is_wysiwyg="TRUE"; // @8:82 fourteennm_ff send_data_Z ( .q(send_data), .d(send_data_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam send_data_Z.is_wysiwyg="TRUE"; // @8:217 fourteennm_lcell_comb out_rege_3_ ( .combout(out_rege_0[3]), .datad(tre), .datac(load), .datab(out_reg[3]), .dataa(data_in[3]) ); defparam out_rege_3_.lut_mask=64'hccacccacccacccac; defparam out_rege_3_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_6_ ( .combout(out_rege_0[6]), .datad(tre), .datac(load), .datab(out_reg[6]), .dataa(data_in[6]) ); defparam out_rege_6_.lut_mask=64'hccacccacccacccac; defparam out_rege_6_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_7_ ( .combout(out_rege_0[7]), .datad(tre), .datac(load), .datab(out_reg[7]), .dataa(data_in[7]) ); defparam out_rege_7_.lut_mask=64'hccacccacccacccac; defparam out_rege_7_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_2_ ( .combout(out_rege_0[2]), .datad(tre), .datac(load), .datab(out_reg[2]), .dataa(data_in[2]) ); defparam out_rege_2_.lut_mask=64'hccacccacccacccac; defparam out_rege_2_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_4_ ( .combout(out_rege_0[4]), .datad(tre), .datac(load), .datab(out_reg[4]), .dataa(data_in[4]) ); defparam out_rege_4_.lut_mask=64'hccacccacccacccac; defparam out_rege_4_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_5_ ( .combout(out_rege_0[5]), .datad(tre), .datac(load), .datab(out_reg[5]), .dataa(data_in[5]) ); defparam out_rege_5_.lut_mask=64'hccacccacccacccac; defparam out_rege_5_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_1_ ( .combout(out_rege_0[1]), .datad(tre), .datac(load), .datab(out_reg[1]), .dataa(data_in[1]) ); defparam out_rege_1_.lut_mask=64'hccacccacccacccac; defparam out_rege_1_.extended_lut="off"; // @8:217 fourteennm_lcell_comb out_rege_0_ ( .combout(out_rege_0[0]), .datad(tre), .datac(load), .datab(out_reg[0]), .dataa(data_in[0]) ); defparam out_rege_0_.lut_mask=64'hccacccacccacccac; defparam out_rege_0_.extended_lut="off"; // @8:82 fourteennm_ff S1_2_ ( .q(S1[2]), .d(S1_ns[5]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_2_.is_wysiwyg="TRUE"; // @8:82 fourteennm_ff canale_1_0_ ( .q(canale[0]), .d(canale_i[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(S1[1]) ); defparam canale_1_0_.is_wysiwyg="TRUE"; // @8:239 fourteennm_lcell_comb send_en_1_sqmuxa_0_a3 ( .combout(send_en_1_sqmuxa), .datad(GND), .datac(tre), .datab(send), .dataa(dsr) ); defparam send_en_1_sqmuxa_0_a3.lut_mask=64'h8080808080808080; defparam send_en_1_sqmuxa_0_a3.extended_lut="off"; // @8:139 fourteennm_lcell_comb rdy_e ( .combout(rdy_0), .dataf(confirm), .datae(S2[1]), .datad(S2[0]), .datac(rdy), .datab(mpx), .dataa(send_data) ); defparam rdy_e.lut_mask=64'hf030f0faf0f0f0fa; defparam rdy_e.extended_lut="off"; // @8:82 fourteennm_lcell_comb S1_ns_a3_0_ ( .combout(S1_ns[0]), .datad(GND), .datac(GND), .datab(rdy), .dataa(S1[4]) ); defparam S1_ns_a3_0_.lut_mask=64'h7777777777777777; defparam S1_ns_a3_0_.extended_lut="off"; // @8:82 fourteennm_ff S1_1_ ( .q(S1[1]), .d(S1_ns[6]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_1_.is_wysiwyg="TRUE"; // @8:139 fourteennm_ff add_mpx2_Z ( .q(add_mpx2), .d(add_mpx2_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam add_mpx2_Z.is_wysiwyg="TRUE"; // @8:139 fourteennm_ff rdy_Z ( .q(rdy), .d(rdy_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam rdy_Z.is_wysiwyg="TRUE"; // @8:139 fourteennm_lcell_comb add_mpx2_e ( .combout(add_mpx2_0), .datae(confirm), .datad(S2[1]), .datac(S2[0]), .datab(mpx), .dataa(add_mpx2) ); defparam add_mpx2_e.lut_mask=64'habaaaaaaabaaaaaa; defparam add_mpx2_e.extended_lut="off"; // @8:82 fourteennm_lcell_comb S1_ns_a3_6_ ( .combout(S1_ns[6]), .datad(GND), .datac(GND), .datab(S1[2]), .dataa(eoc) ); defparam S1_ns_a3_6_.lut_mask=64'h4444444444444444; defparam S1_ns_a3_6_.extended_lut="off"; // @8:113 fourteennm_lcell_comb canale_1_RNO_1_ ( .combout(canale_1_RNO_combout[1]), .datad(GND), .datac(GND), .datab(canale[0]), .dataa(canale[1]) ); defparam canale_1_RNO_1_.lut_mask=64'h6666666666666666; defparam canale_1_RNO_1_.extended_lut="off"; // @8:82 fourteennm_ff canale_1_1_ ( .q(canale[1]), .d(canale_1_RNO_combout[1]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(S1[1]) ); defparam canale_1_1_.is_wysiwyg="TRUE"; // @8:182 fourteennm_lcell_comb send_e ( .combout(send_0), .datad(GND), .datac(itfc_state[1]), .datab(itfc_state[0]), .dataa(send) ); defparam send_e.lut_mask=64'h8e8e8e8e8e8e8e8e; defparam send_e.extended_lut="off"; // @8:182 fourteennm_lcell_comb load_e ( .combout(load_0), .datad(load), .datac(itfc_state[1]), .datab(itfc_state[0]), .dataa(shot) ); defparam load_e.lut_mask=64'hf302f302f302f302; defparam load_e.extended_lut="off"; // @8:182 fourteennm_lcell_comb itfc_state_ns_1_0__m3_0 ( .combout(itfc_state_ns[0]), .datad(tx_end), .datac(itfc_state[1]), .datab(itfc_state[0]), .dataa(shot) ); defparam itfc_state_ns_1_0__m3_0.lut_mask=64'h32f232f232f232f2; defparam itfc_state_ns_1_0__m3_0.extended_lut="off"; // @8:182 fourteennm_lcell_comb itfc_state_ns_1_0__m4_0 ( .combout(itfc_state_ns[1]), .datad(GND), .datac(tx_end), .datab(itfc_state[1]), .dataa(itfc_state[0]) ); defparam itfc_state_ns_1_0__m4_0.lut_mask=64'h6e6e6e6e6e6e6e6e; defparam itfc_state_ns_1_0__m4_0.extended_lut="off"; // @8:182 fourteennm_ff itfc_state_1_ ( .q(itfc_state[1]), .d(itfc_state_ns[1]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam itfc_state_1_.is_wysiwyg="TRUE"; // @8:182 fourteennm_ff itfc_state_0_ ( .q(itfc_state[0]), .d(itfc_state_ns[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam itfc_state_0_.is_wysiwyg="TRUE"; // @8:182 fourteennm_ff confirm_Z ( .q(confirm), .d(confirm_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam confirm_Z.is_wysiwyg="TRUE"; // @8:182 fourteennm_lcell_comb confirm_e ( .combout(confirm_0), .datad(confirm), .datac(tx_end), .datab(itfc_state[1]), .dataa(itfc_state[0]) ); defparam confirm_e.lut_mask=64'hee80ee80ee80ee80; defparam confirm_e.extended_lut="off"; // @8:139 fourteennm_lcell_comb shot_e ( .combout(shot_0), .datad(confirm), .datac(S2[1]), .datab(S2[0]), .dataa(shot) ); defparam shot_e.lut_mask=64'hae8eae8eae8eae8e; defparam shot_e.extended_lut="off"; // @8:139 fourteennm_ff shot_Z ( .q(shot), .d(shot_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam shot_Z.is_wysiwyg="TRUE"; // @8:139 fourteennm_lcell_comb S2_RNO_1_ ( .combout(N_26_i), .datad(confirm), .datac(S2[1]), .datab(S2[0]), .dataa(mpx) ); defparam S2_RNO_1_.lut_mask=64'h2c3c2c3c2c3c2c3c; defparam S2_RNO_1_.extended_lut="off"; // @8:139 fourteennm_lcell_comb S2_RNO_0_ ( .combout(N_24_i), .datad(confirm), .datac(S2[1]), .datab(S2[0]), .dataa(send_data) ); defparam S2_RNO_0_.lut_mask=64'h3202320232023202; defparam S2_RNO_0_.extended_lut="off"; // @8:139 fourteennm_ff mpx_Z ( .q(mpx), .d(mpx_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam mpx_Z.is_wysiwyg="TRUE"; // @8:139 fourteennm_ff S2_1_ ( .q(S2[1]), .d(N_26_i), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S2_1_.is_wysiwyg="TRUE"; // @8:139 fourteennm_ff S2_0_ ( .q(S2[0]), .d(N_24_i), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S2_0_.is_wysiwyg="TRUE"; // @8:139 fourteennm_lcell_comb mpx_e ( .combout(mpx_0), .datad(confirm), .datac(S2[1]), .datab(S2[0]), .dataa(mpx) ); defparam mpx_e.lut_mask=64'h9aaa9aaa9aaa9aaa; defparam mpx_e.extended_lut="off"; // @8:82 fourteennm_ff mux_en_Z ( .q(mux_en), .d(mux_en_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam mux_en_Z.is_wysiwyg="TRUE"; // @8:82 fourteennm_lcell_comb mux_en_e ( .combout(mux_en_0), .datad(S1[2]), .datac(mux_en), .datab(S1[7]), .dataa(eoc) ); defparam mux_en_e.lut_mask=64'hecfcecfcecfcecfc; defparam mux_en_e.extended_lut="off"; //@8:82 assign S1[7] = ~ S1_i; // @8:82 fourteennm_ff S1_0_7_ ( .q(S1_i), .d(S1_ns[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam S1_0_7_.is_wysiwyg="TRUE"; // @8:217 fourteennm_lcell_comb tre_e ( .combout(tre_0), .datad(GND), .datac(tre), .datab(load), .dataa(tx_end) ); defparam tre_e.lut_mask=64'hfefefefefefefefe; defparam tre_e.extended_lut="off"; // @8:182 fourteennm_ff load_Z ( .q(load), .d(load_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam load_Z.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_1_ ( .q(out_reg[1]), .d(out_rege_0[1]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_1_.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_0_ ( .q(out_reg[0]), .d(out_rege_0[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_0_.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_5_ ( .q(out_reg[5]), .d(out_rege_0[5]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_5_.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_4_ ( .q(out_reg[4]), .d(out_rege_0[4]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_4_.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_7_ ( .q(out_reg[7]), .d(out_rege_0[7]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_7_.is_wysiwyg="TRUE"; // @8:261 fourteennm_lcell_comb data_out_11_1_0 ( .combout(data_out_11_1), .datae(send_en), .datad(next_bit[0]), .datac(next_bit[2]), .datab(out_reg[5]), .dataa(out_reg[4]) ); defparam data_out_11_1_0.lut_mask=64'hafc0ffffafc0ffff; defparam data_out_11_1_0.extended_lut="off"; // @8:217 fourteennm_ff out_reg_6_ ( .q(out_reg[6]), .d(out_rege_0[6]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_6_.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff tre_Z ( .q(tre), .d(tre_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam tre_Z.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb next_bit_RNO_0_1_ ( .combout(g1_0), .datad(GND), .datac(next_bit[0]), .datab(next_bit[2]), .dataa(next_bit[3]) ); defparam next_bit_RNO_0_1_.lut_mask=64'hc1c1c1c1c1c1c1c1; defparam next_bit_RNO_0_1_.extended_lut="off"; fourteennm_lcell_comb next_bit_RNO_0_0_ ( .combout(next_bit_RNO_0_combout[0]), .datad(GND), .datac(GND), .datab(next_bit[2]), .dataa(next_bit[1]) ); defparam next_bit_RNO_0_0_.lut_mask=64'h1111111111111111; defparam next_bit_RNO_0_0_.extended_lut="off"; // @8:252 fourteennm_lcell_comb next_bit_RNO_0_3_ ( .combout(g1), .datad(GND), .datac(GND), .datab(next_bit[2]), .dataa(next_bit[1]) ); defparam next_bit_RNO_0_3_.lut_mask=64'h8888888888888888; defparam next_bit_RNO_0_3_.extended_lut="off"; // @8:238 fourteennm_lcell_comb send_en_1_sqmuxa_1_i_0 ( .combout(N_12), .datad(tre), .datac(tx_end), .datab(send), .dataa(dsr) ); defparam send_en_1_sqmuxa_1_i_0.lut_mask=64'hf8f0f8f0f8f0f8f0; defparam send_en_1_sqmuxa_1_i_0.extended_lut="off"; // @8:182 fourteennm_ff send_Z ( .q(send), .d(send_0), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam send_Z.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff send_en_Z ( .q(send_en), .d(send_en_1_sqmuxa), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(N_12) ); defparam send_en_Z.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_3_ ( .q(out_reg[3]), .d(out_rege_0[3]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_3_.is_wysiwyg="TRUE"; // @8:217 fourteennm_ff out_reg_2_ ( .q(out_reg[2]), .d(out_rege_0[2]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam out_reg_2_.is_wysiwyg="TRUE"; // @8:261 fourteennm_lcell_comb data_out_11_5 ( .combout(N_152), .dataf(next_bit[0]), .datae(next_bit[2]), .datad(out_reg[7]), .datac(out_reg[6]), .datab(out_reg[3]), .dataa(out_reg[2]) ); defparam data_out_11_5.lut_mask=64'haaaaf0f0ccccff00; defparam data_out_11_5.extended_lut="off"; // @8:261 fourteennm_lcell_comb data_out_11_7 ( .combout(N_153), .datae(N_152), .datad(next_bit[0]), .datac(next_bit[3]), .datab(out_reg[1]), .dataa(out_reg[0]) ); defparam data_out_11_7.lut_mask=64'hafcfa0c0afcfa0c0; defparam data_out_11_7.extended_lut="off"; fourteennm_lcell_comb next_bit_RNO_0_ ( .combout(next_bite_0[0]), .dataf(un10_send_enlto4_d), .datae(un10_send_enlto4_0_1), .datad(next_bit_RNO_0_combout[0]), .datac(send_en), .datab(next_bit[0]), .dataa(next_bit[3]) ); defparam next_bit_RNO_0_.lut_mask=64'hacbccccccccccccc; defparam next_bit_RNO_0_.extended_lut="off"; // @8:261 fourteennm_lcell_comb tx_end_3_0_a2 ( .combout(tx_end_3), .dataf(un10_send_enlto4_d), .datae(un10_send_enlto4_0_1), .datad(N_90), .datac(send_en), .datab(next_bit[0]), .dataa(next_bit[2]) ); defparam tx_end_3_0_a2.lut_mask=64'h4000000000000000; defparam tx_end_3_0_a2.extended_lut="off"; // @8:252 fourteennm_ff tx_end_Z ( .q(tx_end), .d(tx_end_3), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam tx_end_Z.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb next_bit_RNO_2_ ( .combout(next_bite_0[2]), .dataf(un10_send_enlto4_d), .datae(un10_send_enlto4_0_1), .datad(send_en), .datac(next_bit[0]), .datab(next_bit[2]), .dataa(next_bit[1]) ); defparam next_bit_RNO_2_.lut_mask=64'h6ccccccccccccccc; defparam next_bit_RNO_2_.extended_lut="off"; // @8:252 fourteennm_lcell_comb next_bit_RNO_1_ ( .combout(next_bite_0[1]), .dataf(un10_send_enlto4_d), .datae(un10_send_enlto4_0_1), .datad(g1_0), .datac(send_en), .datab(next_bit[0]), .dataa(next_bit[1]) ); defparam next_bit_RNO_1_.lut_mask=64'h7a2aaaaaaaaaaaaa; defparam next_bit_RNO_1_.extended_lut="off"; // @8:252 fourteennm_lcell_comb next_bit_RNO_3_ ( .combout(next_bite_0[3]), .dataf(un10_send_enlto4_d), .datae(un10_send_enlto4_0_1), .datad(g1), .datac(send_en), .datab(next_bit[0]), .dataa(next_bit[3]) ); defparam next_bit_RNO_3_.lut_mask=64'hea2aaaaaaaaaaaaa; defparam next_bit_RNO_3_.extended_lut="off"; // @8:252 fourteennm_ff next_bit_0_ ( .q(next_bit[0]), .d(next_bite_0[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam next_bit_0_.is_wysiwyg="TRUE"; // @8:252 fourteennm_ff next_bit_2_ ( .q(next_bit[2]), .d(next_bite_0[2]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam next_bit_2_.is_wysiwyg="TRUE"; // @8:262 fourteennm_lcell_comb un10_send_enlto4_d_cZ ( .combout(un10_send_enlto4_d), .datad(tx_conta[0]), .datac(tx_conta[4]), .datab(tx_conta[2]), .dataa(tx_conta[1]) ); defparam un10_send_enlto4_d_cZ.lut_mask=64'hfffefffefffefffe; defparam un10_send_enlto4_d_cZ.extended_lut="off"; // @8:252 fourteennm_ff next_bit_3_ ( .q(next_bit[3]), .d(next_bite_0[3]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam next_bit_3_.is_wysiwyg="TRUE"; // @8:261 fourteennm_lcell_comb data_out_11_u ( .combout(data_out_11), .dataf(N_153), .datae(un10_send_enlto4_d), .datad(un10_send_enlto4_0_1), .datac(data_out_11_1), .datab(N_90), .dataa(send_en) ); defparam data_out_11_u.lut_mask=64'hf2ffffffd0ffffff; defparam data_out_11_u.extended_lut="off"; // @8:252 fourteennm_lcell_comb next_bit_s0_0_a2_0 ( .combout(N_90), .datad(GND), .datac(GND), .datab(next_bit[3]), .dataa(next_bit[1]) ); defparam next_bit_s0_0_a2_0.lut_mask=64'h1111111111111111; defparam next_bit_s0_0_a2_0.extended_lut="off"; // @8:252 fourteennm_ff data_out_Z ( .q(data_out), .d(data_out_11), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam data_out_Z.is_wysiwyg="TRUE"; // @8:252 fourteennm_ff next_bit_1_ ( .q(next_bit[1]), .d(next_bite_0[1]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(VCC) ); defparam next_bit_1_.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_1_ ( .combout(tx_conta_lm[1]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta_s[1]) ); defparam tx_conta_lm_0_1_.lut_mask=64'h2a2a2a2a2a2a2a2a; defparam tx_conta_lm_0_1_.extended_lut="off"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_0_ ( .combout(tx_conta_lm[0]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta[0]) ); defparam tx_conta_lm_0_0_.lut_mask=64'h1515151515151515; defparam tx_conta_lm_0_0_.extended_lut="off"; // @8:262 fourteennm_lcell_comb un10_send_enlto4_0_1_cZ ( .combout(un10_send_enlto4_0_1), .datad(tx_conta[4]), .datac(tx_conta[6]), .datab(tx_conta[5]), .dataa(tx_conta[3]) ); defparam un10_send_enlto4_0_1_cZ.lut_mask=64'hc080c080c080c080; defparam un10_send_enlto4_0_1_cZ.extended_lut="off"; // @8:252 fourteennm_ff tx_conta_1_ ( .q(tx_conta[1]), .d(tx_conta_lm[1]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_1_.is_wysiwyg="TRUE"; // @8:252 fourteennm_ff tx_conta_2_ ( .q(tx_conta[2]), .d(tx_conta_lm[2]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_2_.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_2_ ( .combout(tx_conta_lm[2]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta_s[2]) ); defparam tx_conta_lm_0_2_.lut_mask=64'h2a2a2a2a2a2a2a2a; defparam tx_conta_lm_0_2_.extended_lut="off"; // @8:252 fourteennm_ff tx_conta_3_ ( .q(tx_conta[3]), .d(tx_conta_lm[3]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_3_.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_3_ ( .combout(tx_conta_lm[3]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta_s[3]) ); defparam tx_conta_lm_0_3_.lut_mask=64'h2a2a2a2a2a2a2a2a; defparam tx_conta_lm_0_3_.extended_lut="off"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_4_ ( .combout(tx_conta_lm[4]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta_s[4]) ); defparam tx_conta_lm_0_4_.lut_mask=64'h2a2a2a2a2a2a2a2a; defparam tx_conta_lm_0_4_.extended_lut="off"; // @8:252 fourteennm_ff tx_conta_4_ ( .q(tx_conta[4]), .d(tx_conta_lm[4]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_4_.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_5_ ( .combout(tx_conta_lm[5]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta_s[5]) ); defparam tx_conta_lm_0_5_.lut_mask=64'h2a2a2a2a2a2a2a2a; defparam tx_conta_lm_0_5_.extended_lut="off"; // @8:252 fourteennm_ff tx_conta_5_ ( .q(tx_conta[5]), .d(tx_conta_lm[5]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_5_.is_wysiwyg="TRUE"; // @8:252 fourteennm_lcell_comb tx_conta_lm_0_6_ ( .combout(tx_conta_lm[6]), .datad(GND), .datac(un10_send_enlto4_d), .datab(un10_send_enlto4_0_1), .dataa(tx_conta_s[6]) ); defparam tx_conta_lm_0_6_.lut_mask=64'h2a2a2a2a2a2a2a2a; defparam tx_conta_lm_0_6_.extended_lut="off"; fourteennm_lcell_comb tx_conta_RNIIJGU_4_ ( .sumout(tx_conta_s[4]), .cout(tx_conta_cry[4]), .datad(tx_conta[4]), .datac(GND), .cin(tx_conta_cry[3]) ); defparam tx_conta_RNIIJGU_4_.lut_mask=64'h000000000000ff00; defparam tx_conta_RNIIJGU_4_.extended_lut="off"; // @8:252 fourteennm_ff tx_conta_0_ ( .q(tx_conta[0]), .d(tx_conta_lm[0]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_0_.is_wysiwyg="TRUE"; fourteennm_lcell_comb tx_conta_lm_0_RNO_6_ ( .sumout(tx_conta_s[6]), .cout(tx_conta_lm_0_RNO_cout[6]), .datad(GND), .datac(tx_conta[6]), .cin(tx_conta_cry[5]) ); defparam tx_conta_lm_0_RNO_6_.lut_mask=64'h000000000000f0f0; defparam tx_conta_lm_0_RNO_6_.extended_lut="off"; fourteennm_lcell_comb tx_conta_RNIV0K41_5_ ( .sumout(tx_conta_s[5]), .cout(tx_conta_cry[5]), .datad(tx_conta[5]), .datac(GND), .cin(tx_conta_cry[4]) ); defparam tx_conta_RNIV0K41_5_.lut_mask=64'h000000000000ff00; defparam tx_conta_RNIV0K41_5_.extended_lut="off"; // @8:252 fourteennm_ff tx_conta_6_ ( .q(tx_conta[6]), .d(tx_conta_lm[6]), .clk(clock), .clrn(reset_i), .sclr(GND), .ena(send_en) ); defparam tx_conta_6_.is_wysiwyg="TRUE"; fourteennm_lcell_comb tx_conta_RNI67DO_3_ ( .sumout(tx_conta_s[3]), .cout(tx_conta_cry[3]), .datad(tx_conta[3]), .datac(GND), .cin(tx_conta_cry[2]) ); defparam tx_conta_RNI67DO_3_.lut_mask=64'h000000000000ff00; defparam tx_conta_RNI67DO_3_.extended_lut="off"; fourteennm_lcell_comb tx_conta_RNIRR9I_2_ ( .sumout(tx_conta_s[2]), .cout(tx_conta_cry[2]), .datad(tx_conta[2]), .datac(GND), .cin(tx_conta_cry[1]) ); defparam tx_conta_RNIRR9I_2_.lut_mask=64'h000000000000ff00; defparam tx_conta_RNIRR9I_2_.extended_lut="off"; fourteennm_lcell_comb tx_conta_RNIHH6C_1_ ( .sumout(tx_conta_s[1]), .cout(tx_conta_cry[1]), .datad(tx_conta[1]), .datac(tx_conta[0]), .cin(GND) ); defparam tx_conta_RNIHH6C_1_.lut_mask=64'h00000000f0000ff0; defparam tx_conta_RNIHH6C_1_.extended_lut="off"; assign canale[3] = GND; endmodule /* b13 */