diff --git a/.travis.yml b/.travis.yml index 1a89266c9..4841fa782 100644 --- a/.travis.yml +++ b/.travis.yml @@ -12,6 +12,7 @@ branches: except: - stable before_install: +- export START_TIME=$( date +%s ) - export DISPLAY=:99.0 - sh -e /etc/init.d/xvfb start - npm i -g yarn @@ -22,7 +23,11 @@ script: - ckeditor5-dev-tests-travis after_success: - ckeditor5-dev-tests-save-revision +after_script: +- export END_TIME=$( date +%s ) +- ckeditor5-dev-tests-notify-travis-status env: global: - secure: CSFKXnTb2oQW8YIjh+SWXYSYzx1jcIg1wGcl6jWrbaOU06JHEO77YLKlhMxLfRniFtilBEksK4ugIcn+lihykhHleMxI27+kifILdLLZKvwWlZiDrtpJSzLk08SpRNDwwthSlHVKrxbHVFE1K9SYpX4s3qTpxullDMPgc13OP4c= - secure: WGdwDEavTOIE/yw8pdpWmx3Cehm2/GN8gZMimuot8sWPbOZRuPh+2lnVWpASLe25qJUKKeLWYLRHccpMLKbyweiordSEBwzNrOGOFyUuaDPITNZZrp5ekh2vHBp1+mwhRpRekdyWdzzVsm01RkFrnJqQlaXxX9i/r9Tu4EsK54M= + - secure: BKoFnOWcfKn8zaTvilMn9UORF+gR1zBqetqai5FKfSKraJXqiBrz1AI3ecytCjJOKS1g6HoRtZHwVS4a+uG3JjK3bRERQF2NyHiyjbrsL+2HaKaRnVmox8PC/59m1PJlcRKAV9RTYJqL/oqa7N8OBegt4LpIVnEcil8WCErCF6Q=