From a72ca74f51d68859c8f482d92d6c273145a2fe8c Mon Sep 17 00:00:00 2001 From: umarcor Date: Thu, 6 Jun 2024 23:09:20 +0200 Subject: [PATCH] vhpidirect: VUnit needs add_vhdl_builtins --- vhpidirect/arrays/matrices/vunit_axis_vcs/run.py | 1 + vhpidirect/shared/py/vunit/run.py | 1 + vhpidirect/vffi_user/xyce/run.py | 1 + vhpidirect/vffi_user/xyce/run_minimal.py | 1 + 4 files changed, 4 insertions(+) diff --git a/vhpidirect/arrays/matrices/vunit_axis_vcs/run.py b/vhpidirect/arrays/matrices/vunit_axis_vcs/run.py index 8f7d0168..2c14116a 100644 --- a/vhpidirect/arrays/matrices/vunit_axis_vcs/run.py +++ b/vhpidirect/arrays/matrices/vunit_axis_vcs/run.py @@ -2,6 +2,7 @@ from vunit import VUnit VU = VUnit.from_argv(vhdl_standard="2008") +VU.add_vhdl_builtins() VU.add_verification_components() ROOT = Path(__file__).resolve().parent diff --git a/vhpidirect/shared/py/vunit/run.py b/vhpidirect/shared/py/vunit/run.py index e7ef9c70..1fa5fa2b 100644 --- a/vhpidirect/shared/py/vunit/run.py +++ b/vhpidirect/shared/py/vunit/run.py @@ -27,6 +27,7 @@ def post_func(results): ROOT = Path(__file__).resolve().parent vu = VUnit.from_argv(vhdl_standard=getenv('VUNIT_VHDL_STANDARD', '2008')) +vu.add_vhdl_builtins() lib = vu.add_library('lib').add_source_files(str(ROOT / 'tb.vhd')) diff --git a/vhpidirect/vffi_user/xyce/run.py b/vhpidirect/vffi_user/xyce/run.py index 02170f90..7b00ae17 100644 --- a/vhpidirect/vffi_user/xyce/run.py +++ b/vhpidirect/vffi_user/xyce/run.py @@ -6,6 +6,7 @@ RUN = Path(__file__).parent.resolve() VU = VUnit.from_argv(vhdl_standard="2008") +VU.add_vhdl_builtins() for PRJ in ["runACircuitInSteps", "runWithDACs"]: LIB = VU.add_library(PRJ) diff --git a/vhpidirect/vffi_user/xyce/run_minimal.py b/vhpidirect/vffi_user/xyce/run_minimal.py index f93aefbf..c213c52f 100644 --- a/vhpidirect/vffi_user/xyce/run_minimal.py +++ b/vhpidirect/vffi_user/xyce/run_minimal.py @@ -6,6 +6,7 @@ SRC = Path(__file__).parent.resolve() / "runACircuit" VU = VUnit.from_argv(vhdl_standard="2008") +VU.add_vhdl_builtins() VU.add_library("lib").add_source_files(SRC / "*.vhd")