forked from IDMComputerSolutions/wordfiles
-
Notifications
You must be signed in to change notification settings - Fork 0
/
ahdl.uew
executable file
·51 lines (51 loc) · 1 KB
/
ahdl.uew
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
/L20"AHDL (Altera Hardware Description Language)" 1Line Comment = -- Nocase File Extensions = TDF TDO INC
/Delimiters = {}[]:;"'<> ,.?() ~!@$^&*+=|\/
/Indent Strings = "BEGIN"
/Unindent Strings = "END"
/C1
and assert
begin bidir bits buried
case ceil clique connected_pins constant
defaults define design device div
else elsif end
for function
generate gnd
help_id
if include input is
log2
machine mod
nand node nor not
of options or others output
parameters
report returns
segments severity states subdesign
table then title to tri_state_node
variable vcc
when with
xnor xor
/C2
carry cascade
dff dffe
exp
floor
global
jkff jkffe
latch lcell
mcell memory
opendrn
soft srff srffe
tff tffe tri
used
wire
/C3
altdpram
busmux
csdpram csfifo
dcfifo divide
lpm_abs lpm_add_sub lpm_and lpm_bustri lpm_clshift lpm_compare
lpm_constant lpm_counter lpm_decode lpm_dff lpm_divide lpm_ff
lpm_fifo lpm_fifo_dc lpm_inv lpm_latch lpm_mult lpm_mux lpm_or
lpm_ram_dp lpm_ram_dq lpm_ram_io lpm_rom lpm_shiftreg lpm_tff lpm_xor
mux
ntsc
scfifo