Skip to content

Latest commit

 

History

History
14 lines (11 loc) · 637 Bytes

File metadata and controls

14 lines (11 loc) · 637 Bytes

SystemVerilog DPI Now

The examples in the *examples_orig* directory are authored by Rich Edelman and I take no ownership of those.

In the other sub-directories in this directory, I (Kaushal Modi) have translated the C code from the examples_orig/ directory to Nim to demonstrate how it can be interfaced with SystemVerilog via DPI-C.

Those examples are associated with his DVCon 2005 paper and presentation “Using SystemVerilog Now with DPI”. The PDF of those were provided by Rich Edelman and they can be found here.