-
Notifications
You must be signed in to change notification settings - Fork 1
/
Copy pathencounter.pt
53 lines (47 loc) · 1.67 KB
/
encounter.pt
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
#***************************************************
# Timing constraint file in PT format
#
# - Note: This is all case-sensitive
#***************************************************
#***************************************************
# Clock Constraints
#***************************************************
create_clock -period 7 -waveform { 0.0000000 3.5000000}\
[get_ports {clk}]
#***************************************************
# Input Pin Constraints
#***************************************************
set_input_delay -clock clk 1.0000 \
[get_ports {rst}]
set_input_delay -clock clk 1.0000 \
[get_ports {dataMinusComputer}]
set_input_delay -clock clk 1.0000 \
[get_ports {dataMinusUsb}]
set_input_delay -clock clk 1.0000 \
[get_ports {dataPlusComputer}]
set_input_delay -clock clk 1.0000 \
[get_ports {dataPlusUsb}]
#***************************************************
# Output Pin Constraints
#***************************************************
set_output_delay -clock clk 1.0000 \
[get_ports {dataOut}]
set_output_delay -clock clk 1.0000 \
[get_ports {sd_enable}]
set_output_delay -clock clk 1.0000 \
[get_ports {scl}]
#***************************************************
# Pin Driving Cells (Needed only for Inputs)
#***************************************************
set_driving_cell -lib_cell INVX8\
[get_ports {clk}]
set_driving_cell -lib_cell INVX8\
[get_ports {rst}]
set_driving_cell -lib_cell INVX8\
[get_ports {dataMinusComputer}]
set_driving_cell -lib_cell INVX8\
[get_ports {dataMinusUsb}]
set_driving_cell -lib_cell INVX8\
[get_ports {dataPlusComputer}]
set_driving_cell -lib_cell INVX8\
[get_ports {dataPlusUsb}]