From 3478c30cdf31589df08ef543617bd8767d5ad511 Mon Sep 17 00:00:00 2001 From: Martin Schoeberl Date: Tue, 8 Oct 2024 08:58:19 -0700 Subject: [PATCH] Remove unneeded base class --- src/main/scala/leros/Leros.scala | 8 +++++++- src/main/scala/leros/LerosBase.scala | 24 ------------------------ 2 files changed, 7 insertions(+), 25 deletions(-) delete mode 100644 src/main/scala/leros/LerosBase.scala diff --git a/src/main/scala/leros/Leros.scala b/src/main/scala/leros/Leros.scala index 6fcc8f8..d9fa227 100644 --- a/src/main/scala/leros/Leros.scala +++ b/src/main/scala/leros/Leros.scala @@ -10,7 +10,13 @@ import leros.State._ * * Sequential implementation with two states. */ -class Leros(prog: String, size: Int = 32, memAddrWidth: Int = 8) extends LerosBase(prog) { +class Leros(prog: String, size: Int = 32, memAddrWidth: Int = 8) extends Module { + + val io = IO(new Bundle { + // val dout = Output(UInt(32.W)) + // val sw = Input(UInt(4.W)) + val led = Output(UInt(8.W)) + }) val alu = Module(new AluAccu(size)) diff --git a/src/main/scala/leros/LerosBase.scala b/src/main/scala/leros/LerosBase.scala deleted file mode 100644 index 9d215a0..0000000 --- a/src/main/scala/leros/LerosBase.scala +++ /dev/null @@ -1,24 +0,0 @@ -/* - * Leros, a Tiny Microprocessor - * - * Author: Martin Schoeberl (martin@jopdesign.com) - */ - -package leros - -import chisel3._ - -/** - * Leros top level as abstract class. - * Basically empty. Maybe we can/shall share code between different implementations. - */ -abstract class LerosBase(prog: String, size: Int =32, memAddrWidth: Int = 8) extends Module { - val io = IO(new Bundle { - // val dout = Output(UInt(32.W)) - // val sw = Input(UInt(4.W)) - val led = Output(UInt(8.W)) - }) - // io.led := 5.U -} - -