{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":204516692,"defaultBranch":"master","name":"opentitan","ownerLogin":"lowRISC","currentUserCanPush":false,"isFork":false,"isEmpty":false,"createdAt":"2019-08-26T16:30:16.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/7814611?v=4","public":true,"private":false,"isOrgOwned":true},"refInfo":{"name":"","listCacheKey":"v0:1720901671.0","currentOid":""},"activityList":{"items":[{"before":"63e14018499c82359fec833c15daf22c5c92f2c8","after":"c46846b02da0c91e2060c4aa8105a6c7ee7ee98f","ref":"refs/heads/hcallahan-lowrisc-patch-1","pushedAt":"2024-07-13T22:40:06.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"hcallahan-lowrisc","name":"Harry Callahan","path":"/hcallahan-lowrisc","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/102029880?s=80&v=4"},"commit":{"message":"[i2c,dv] Move I2C to hardware development stage V2(S)\n\nThis moves the I2C HWIP block to V2S, as described in the signoff review issue #22108.\r\n\r\n> Note. there are a few in-flight PRs (#23987, #24010) that need to be merged before this one. When they are merged, the lightweight signoff criteria bullets in the signoff issue [comment here](https://github.com/lowRISC/opentitan/issues/22108#issuecomment-2152850795) will be updated to reflect the latest status.\r\n\r\n\r\n\n\nSigned-off-by: Harry Callahan ","shortMessageHtmlLink":"[i2c,dv] Move I2C to hardware development stage V2(S)"}},{"before":"a29a745c6d0e3921bfb2ff51bf887cd323ee78f7","after":"d51405297e077c5f3536fcfb1593247c72d9d002","ref":"refs/heads/master","pushedAt":"2024-07-13T21:50:48.000Z","pushType":"pr_merge","commitsCount":9,"pusher":{"login":"hcallahan-lowrisc","name":"Harry Callahan","path":"/hcallahan-lowrisc","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/102029880?s=80&v=4"},"commit":{"message":"[i2c,dv] Remove the 'i2c_target_ack_stop_vseq' from the stress_all rotation.\n\nThis test is currently broken, and will likely be removed/refactored in the\nfuture.\n\nSigned-off-by: Harry Callahan ","shortMessageHtmlLink":"[i2c,dv] Remove the 'i2c_target_ack_stop_vseq' from the stress_all ro…"}},{"before":"44d2f94d7b73b6bdcc85e1bac190bd00e243c160","after":"a29a745c6d0e3921bfb2ff51bf887cd323ee78f7","ref":"refs/heads/master","pushedAt":"2024-07-13T20:29:46.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"hcallahan-lowrisc","name":"Harry Callahan","path":"/hcallahan-lowrisc","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/102029880?s=80&v=4"},"commit":{"message":"[i2v,dv] Move TP:target_error_intr / i2c_target_unexp_stop to V3\n\nThis test is currently broken, and the feature is unused by any test software or\nmentioned in the programmers guide. It's purpose was to detect invalid bus\ndriving by the I2C-Controller, when a STOP condition was received at an earlier\npoint that expected during a READ transfer.\n\nDue to previous RTL changes to enable multi-controller support, new logic has\nbeen added to explicitly allow bus arbitration. This logic fundamentally aims\nto detect another I2C bus-device driving the bus when we (the DUT) expect to be\ndriving it.\n\nIn the context of an unexpected STOP condition during a READ, any attempt for\nthe I2C-Controller device to drive a STOP will cause the target-mode logic to\ndetect an arbitration failure, and to back-off the transfer, awaiting the next\nSTOP condition. (Due to the open-drain bus signalling scheme, drive-conflicts\nand hence arbitration failures can only be detected when a party that has\nreleased the bus high observes another party driving it low. To signal a stop\ncondition, a low-to-high transition on SDA is required, and hence a\ndrive-conflict and arbitration loss is detected when the other endpoint pulls\nSDA low, before it can even signal the stop condition).\n\nThis arbitration failure and back-off happens before the logic that creates the\n'UnexpStop' interrupt fires, and hence this interrupt can no longer be triggered.\n\nI think this testpoint may possibly be re-written to confirm we can identify an\nunexpected STOP condition via the arbitration-lost signalling, but it may end up\ngetting dropped altogether. For now, move it to V3.\n\nSigned-off-by: Harry Callahan ","shortMessageHtmlLink":"[i2v,dv] Move TP:target_error_intr / i2c_target_unexp_stop to V3"}},{"before":null,"after":"63e14018499c82359fec833c15daf22c5c92f2c8","ref":"refs/heads/hcallahan-lowrisc-patch-1","pushedAt":"2024-07-13T20:14:31.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hcallahan-lowrisc","name":"Harry Callahan","path":"/hcallahan-lowrisc","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/102029880?s=80&v=4"},"commit":{"message":"[i2c,dv] Move I2C to hardware development stage V2(S)\n\nThis moves the I2C HWIP block to V2S, as described in the signoff review issue #22108.\r\n\r\n> Note. there are a few in-flight PRs (#23987, #24010) that need to be merged before this one. When they are merged, the lightweight signoff criteria bullets in the signoff issue [comment here](https://github.com/lowRISC/opentitan/issues/22108#issuecomment-2152850795) will be updated to reflect the latest status.\r\n\r\n\r\n\n\nSigned-off-by: Harry Callahan ","shortMessageHtmlLink":"[i2c,dv] Move I2C to hardware development stage V2(S)"}},{"before":"8f2ad247ced6fde7db1b8ff3dcebe021c7bf8b0a","after":"44d2f94d7b73b6bdcc85e1bac190bd00e243c160","ref":"refs/heads/master","pushedAt":"2024-07-13T18:58:35.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"andreaskurth","name":"Andreas Kurth","path":"/andreaskurth","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3583291?s=80&v=4"},"commit":{"message":"[chip-test,sival] Optimize entropy collection in ast_clk_rst_inputs\n\nInstead of re-starting the ENTORPY_SRC block to restart the entropy\ncollection in the observe FIFO after sleep, this commit changes the\ntest to just drain the observe FIFO which is faster as the RNG doesn't\nneed to restart this way.\n\nSigned-off-by: Pirmin Vogel ","shortMessageHtmlLink":"[chip-test,sival] Optimize entropy collection in ast_clk_rst_inputs"}},{"before":"5967df933a814f72d5212bd43d13a0041a3aaf9d","after":"8f2ad247ced6fde7db1b8ff3dcebe021c7bf8b0a","ref":"refs/heads/master","pushedAt":"2024-07-12T23:32:19.000Z","pushType":"pr_merge","commitsCount":4,"pusher":{"login":"vogelpi","name":"Pirmin Vogel","path":"/vogelpi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/20307557?s=80&v=4"},"commit":{"message":"[sival, spi_host] Link the config test in the testplan\n\nSigned-off-by: Douglas Reis ","shortMessageHtmlLink":"[sival, spi_host] Link the config test in the testplan"}},{"before":"c0f92197ec05ecef649aaca0b1f6efa45df3e36a","after":"5967df933a814f72d5212bd43d13a0041a3aaf9d","ref":"refs/heads/master","pushedAt":"2024-07-12T19:26:55.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"andreaskurth","name":"Andreas Kurth","path":"/andreaskurth","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3583291?s=80&v=4"},"commit":{"message":"[hw] Align HW IP block versions for Earlgrey-PROD\n\nThe algorithm to determine the HW IP block version numbers is described\nin issue #22222. This resolves #22222.\n\nSigned-off-by: Andreas Kurth ","shortMessageHtmlLink":"[hw] Align HW IP block versions for Earlgrey-PROD"}},{"before":"07a6f4f4ae2f364784e4a1d712cff60239f6beff","after":"c0f92197ec05ecef649aaca0b1f6efa45df3e36a","ref":"refs/heads/master","pushedAt":"2024-07-12T18:39:45.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"rswarbrick","name":"Rupert Swarbrick","path":"/rswarbrick","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/104845?s=80&v=4"},"commit":{"message":"[rv_dm,dv] Use the \"right enable\" in *_jtag_dmi_debug_disabled_vseq\n\nThe code here was a bit broken and I clearly hadn't previously\nunderstood the difference between \"pinmux enabled\" and \"debug\nenabled\".\n\nThe *whole point* of this test is supposed to be that DMI transactions\nget blocked when pinmux is not enabled. After the changes here, we\nactually test that property!\n\nSigned-off-by: Rupert Swarbrick ","shortMessageHtmlLink":"[rv_dm,dv] Use the \"right enable\" in *_jtag_dmi_debug_disabled_vseq"}},{"before":"6cf45ddffe683e0e8bf678b783cf84dae2d8ec88","after":"07a6f4f4ae2f364784e4a1d712cff60239f6beff","ref":"refs/heads/master","pushedAt":"2024-07-12T17:53:23.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"alees24","name":null,"path":"/alees24","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/117650394?s=80&v=4"},"commit":{"message":"[dv,chip] Delete legacy USBDEV-related test\n\nDelete testpoint because the functionality that it describes\ndoes not exist. There is no such CSR/signal.\n\nSigned-off-by: Adrian Lees ","shortMessageHtmlLink":"[dv,chip] Delete legacy USBDEV-related test"}},{"before":"d6f55905c9eed81a175e27cfc6ee3214c62526b8","after":"6cf45ddffe683e0e8bf678b783cf84dae2d8ec88","ref":"refs/heads/master","pushedAt":"2024-07-12T16:14:56.000Z","pushType":"pr_merge","commitsCount":3,"pusher":{"login":"rswarbrick","name":"Rupert Swarbrick","path":"/rswarbrick","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/104845?s=80&v=4"},"commit":{"message":"[rv_dm,dv] Explicitly control lc_dft_en_i\n\nThis is currently hard-coded to match the behaviour of lc_hw_debug_en,\ntracked by a TODO. So no functional change, but this hopefully unpicks\nsome of the merged code.\n\nSigned-off-by: Rupert Swarbrick ","shortMessageHtmlLink":"[rv_dm,dv] Explicitly control lc_dft_en_i"}},{"before":"0e51caf8245d86f93dc3e3c4043af6ae3752a33e","after":"d6f55905c9eed81a175e27cfc6ee3214c62526b8","ref":"refs/heads/master","pushedAt":"2024-07-12T15:31:55.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"alees24","name":null,"path":"/alees24","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/117650394?s=80&v=4"},"commit":{"message":"[dv,usbdev] Improve checking in usbdev_host_lost_vseq\n\nThe `host_lost` bit in usbstat is somewhat superfluous but\ncheck it as well as the interrupt signal/status.\n\nSigned-off-by: Adrian Lees ","shortMessageHtmlLink":"[dv,usbdev] Improve checking in usbdev_host_lost_vseq"}},{"before":"dd26810cc6da03b403ccd870e8a5dba02ef6bbc3","after":"0e51caf8245d86f93dc3e3c4043af6ae3752a33e","ref":"refs/heads/master","pushedAt":"2024-07-12T14:03:05.000Z","pushType":"pr_merge","commitsCount":4,"pusher":{"login":"jwnrt","name":"James Wainwright","path":"/jwnrt","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/105280833?s=80&v=4"},"commit":{"message":"[site] Remove Hugo requirement\n\nSigned-off-by: James Wainwright ","shortMessageHtmlLink":"[site] Remove Hugo requirement"}},{"before":"81617234dc1f97a66c6301906a058f01622b8759","after":"dd26810cc6da03b403ccd870e8a5dba02ef6bbc3","ref":"refs/heads/master","pushedAt":"2024-07-12T13:00:58.000Z","pushType":"pr_merge","commitsCount":5,"pusher":{"login":"vogelpi","name":"Pirmin Vogel","path":"/vogelpi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/20307557?s=80&v=4"},"commit":{"message":"[csrng/dv] Fix initialization of unpacked array of enums\n\nThe previous statement was not legal SV syntax.\n\nSigned-off-by: Pirmin Vogel ","shortMessageHtmlLink":"[csrng/dv] Fix initialization of unpacked array of enums"}},{"before":"325201d6e45c1cf3658223c8a2e8622d236b715b","after":"81617234dc1f97a66c6301906a058f01622b8759","ref":"refs/heads/master","pushedAt":"2024-07-12T12:56:58.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"vogelpi","name":"Pirmin Vogel","path":"/vogelpi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/20307557?s=80&v=4"},"commit":{"message":"[entropy_src/dv] Fix edge case for predicting observe FIFO depth\n\nThis commit fixes an edge case for the prediction of the observe FIFO depth.\nWhen a new word is being pushed into the observe FIFO while we are in the\naddress phase of reading the observe_fifo_depth register, the prediction\nwill be off by one cycle. The reason for this is that the prediction can't\nbe done while the register is being read, so the prediction has to wait until\nthe register is not busy anymore. This commit adds two additional variables\nthat allow for accurate prediction even in this edge case.\n\nSigned-off-by: Hakim Filali ","shortMessageHtmlLink":"[entropy_src/dv] Fix edge case for predicting observe FIFO depth"}},{"before":"e689f8ba85d2b55e493d2f62185db47a38763f67","after":"325201d6e45c1cf3658223c8a2e8622d236b715b","ref":"refs/heads/master","pushedAt":"2024-07-12T12:04:06.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"rswarbrick","name":"Rupert Swarbrick","path":"/rswarbrick","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/104845?s=80&v=4"},"commit":{"message":"[rv_dm,dv] Remove \"TBO\" comment from rv_dm testplan\n\nThis comment was quite reasonable: it's pretty hard to see how to\ncreate other types of failed DMI transaction. The obvious approach of\naccessing particular registers when an abstract command is running\ndoesn't work very easily because there's a very precise timing window\nyou have to hit.\n\nBut it's not clear why we care! This testpoint is all about making\nsure that a failed DMI operation gets reported and that we can clear\nthat status again. The linked test implements that behaviour.\n\nSigned-off-by: Rupert Swarbrick ","shortMessageHtmlLink":"[rv_dm,dv] Remove \"TBO\" comment from rv_dm testplan"}},{"before":"509b468edd684eeb7f59a99e93f2903edb85b69b","after":"e689f8ba85d2b55e493d2f62185db47a38763f67","ref":"refs/heads/master","pushedAt":"2024-07-12T08:53:52.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"andreaskurth","name":"Andreas Kurth","path":"/andreaskurth","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3583291?s=80&v=4"},"commit":{"message":"[doc] Add new member to Technical Committee\n\nAs approved by the Steering Committee.\n\nSigned-off-by: Andreas Kurth ","shortMessageHtmlLink":"[doc] Add new member to Technical Committee"}},{"before":"481cee6a128b7397550dc0bc3aa9dfe82563027c","after":"509b468edd684eeb7f59a99e93f2903edb85b69b","ref":"refs/heads/master","pushedAt":"2024-07-12T08:42:45.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"andreaskurth","name":"Andreas Kurth","path":"/andreaskurth","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3583291?s=80&v=4"},"commit":{"message":"[entropy_src] Move ENTROPY_SRC version 2.0.0 verification_stage to V2S\n\nThis resolves lowRISC/OpenTitan#22469.\n\nSigned-off-by: Pirmin Vogel ","shortMessageHtmlLink":"[entropy_src] Move ENTROPY_SRC version 2.0.0 verification_stage to V2S"}},{"before":"e4f76b4aa53fecf969dd8a5bb3cc3eec20a1baec","after":"481cee6a128b7397550dc0bc3aa9dfe82563027c","ref":"refs/heads/master","pushedAt":"2024-07-12T08:39:30.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"andreaskurth","name":"Andreas Kurth","path":"/andreaskurth","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3583291?s=80&v=4"},"commit":{"message":"[entropy_src/doc] Document the fw_ov_rd_data read when empty behavior\n\nSigned-off-by: Hakim Filali ","shortMessageHtmlLink":"[entropy_src/doc] Document the fw_ov_rd_data read when empty behavior"}},{"before":"edf2fd5092b711561855f518c8743a24110507a0","after":"e4f76b4aa53fecf969dd8a5bb3cc3eec20a1baec","ref":"refs/heads/master","pushedAt":"2024-07-12T08:31:46.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"vogelpi","name":"Pirmin Vogel","path":"/vogelpi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/20307557?s=80&v=4"},"commit":{"message":"[entropy_src/dv] Cleaning up observe FIFO prediction\n\nThis commit cleans up the prediction for observe FIFO reads in\nthe scoreboard.\n\nSigned-off-by: Hakim Filali ","shortMessageHtmlLink":"[entropy_src/dv] Cleaning up observe FIFO prediction"}},{"before":"b917bf23df764a682e6794b19c2fe496dc6a0377","after":"edf2fd5092b711561855f518c8743a24110507a0","ref":"refs/heads/master","pushedAt":"2024-07-11T20:40:35.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"timothytrippel","name":"Timothy Trippel","path":"/timothytrippel","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5633066?s=80&v=4"},"commit":{"message":"[ci] Remove gcc-9 from packages in CI\n\nThis was used to build Verilator which we now download from a bucket.\n\nWe're getting this old GCC from a separate repository which is currently\nhaving network issues. Removing this dependency saves time and makes CI\nless fragile.\n\nSigned-off-by: James Wainwright ","shortMessageHtmlLink":"[ci] Remove gcc-9 from packages in CI"}},{"before":"85029ae74f6f8a5aa02e9b92c0e9ee62da9da9a2","after":"b917bf23df764a682e6794b19c2fe496dc6a0377","ref":"refs/heads/master","pushedAt":"2024-07-11T20:21:26.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"rswarbrick","name":"Rupert Swarbrick","path":"/rswarbrick","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/104845?s=80&v=4"},"commit":{"message":"[otbn,dv] Wipe INSN_CNT on an RMA that comes in when we're wiping\n\nI'd put this logic into the PRE_WIPE state but hadn't noticed that the\nRMA might appear when we are in the middle of a round of wiping. Match\nit in the WIPING state as well.\n\nSigned-off-by: Rupert Swarbrick ","shortMessageHtmlLink":"[otbn,dv] Wipe INSN_CNT on an RMA that comes in when we're wiping"}},{"before":"b8b02e641eaa8da622fecaf50f4625273ef4f5be","after":"85029ae74f6f8a5aa02e9b92c0e9ee62da9da9a2","ref":"refs/heads/master","pushedAt":"2024-07-11T16:47:59.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"rswarbrick","name":"Rupert Swarbrick","path":"/rswarbrick","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/104845?s=80&v=4"},"commit":{"message":"[otbn,dv] Remove some stray debug prints\n\nI added them when developing things recently and didn't notice that\nI'd left them in place. Oops! Take them out again.\n\nSigned-off-by: Rupert Swarbrick ","shortMessageHtmlLink":"[otbn,dv] Remove some stray debug prints"}},{"before":"84bdaf107c6ac348515ab38afdf696aa98f4fcee","after":"b8b02e641eaa8da622fecaf50f4625273ef4f5be","ref":"refs/heads/master","pushedAt":"2024-07-11T13:45:57.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"vogelpi","name":"Pirmin Vogel","path":"/vogelpi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/20307557?s=80&v=4"},"commit":{"message":"[entropy_src/dv] Test hardened FIFO errors\n\nThis commit adds the new hardened FIFO errors to the\nerror test sequence.\n\nSigned-off-by: Hakim Filali ","shortMessageHtmlLink":"[entropy_src/dv] Test hardened FIFO errors"}},{"before":"63cd121ea0fc2e2a6a845011c6f6cafa5ce41ff8","after":"84bdaf107c6ac348515ab38afdf696aa98f4fcee","ref":"refs/heads/master","pushedAt":"2024-07-11T13:43:23.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"hcallahan-lowrisc","name":"Harry Callahan","path":"/hcallahan-lowrisc","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/102029880?s=80&v=4"},"commit":{"message":"[i2c,dv] Map i2c_host_may_nack_vseq to the TP:host_mode_halt_on_nak\n\nSigned-off-by: Harry Callahan ","shortMessageHtmlLink":"[i2c,dv] Map i2c_host_may_nack_vseq to the TP:host_mode_halt_on_nak"}},{"before":"6ac4b3feb44b93adf9f5afc8a62ace688629398f","after":"63cd121ea0fc2e2a6a845011c6f6cafa5ce41ff8","ref":"refs/heads/master","pushedAt":"2024-07-11T12:47:07.000Z","pushType":"pr_merge","commitsCount":4,"pusher":{"login":"engdoreis","name":"Douglas Reis","path":"/engdoreis","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/65042207?s=80&v=4"},"commit":{"message":"[sival, aes] Link crypto test in testplan\n\nSigned-off-by: Douglas Reis \n(cherry picked from commit 19b0abdbfbf88206040f7b1cdfb43dd95eb3e6f3)","shortMessageHtmlLink":"[sival, aes] Link crypto test in testplan"}},{"before":"39211701b5d2d8af1d52ab243b5b079bec36535f","after":"6ac4b3feb44b93adf9f5afc8a62ace688629398f","ref":"refs/heads/master","pushedAt":"2024-07-11T12:45:56.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"vogelpi","name":"Pirmin Vogel","path":"/vogelpi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/20307557?s=80&v=4"},"commit":{"message":"[flash_ctrl/doc] Document ECC/scrambling require 64-bit aligned accesses\n\nThe minimum granularity of host and controller interface accesses is\n32 bits while the Flash word size is 64 bits. Internally, invalid Flash\nwords (uninitialized) or sub words (resulting from unaligned\nprogram operations) are marked and detected using all-one vectors.\n\nAs reliability ECC and scrambling both operate on full 64-bit Flash\nwords, the detection of uninitialized sub words no longer works whenever\nECC and/or scrambling are enabled. In this case, operations should\nalways be made using 64-bit aligned addresses. This commit documents\nthis requirement in the design spec and programmer's guide.\n\nThis resolves lowRISC/OpenTitan#13429.\n\nSigned-off-by: Pirmin Vogel ","shortMessageHtmlLink":"[flash_ctrl/doc] Document ECC/scrambling require 64-bit aligned accesses"}},{"before":"bd836b109b86488697c8c67405c835affe937877","after":"74ba34a5943a5f1bcead65ab1de233ee95870b9e","ref":"refs/heads/earlgrey_es_sival","pushedAt":"2024-07-11T09:49:34.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"jwnrt","name":"James Wainwright","path":"/jwnrt","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/105280833?s=80&v=4"},"commit":{"message":"[sw] Remove device_id checks from spi host tests\n\nSigned-off-by: James Wainwright \n(cherry picked from commit 74ee36060dc4a3018709a9eef7b3ac82a471cbdf)","shortMessageHtmlLink":"[sw] Remove device_id checks from spi host tests"}},{"before":"4e22534aaa0c946a741879d0d618b150157e3c62","after":"39211701b5d2d8af1d52ab243b5b079bec36535f","ref":"refs/heads/master","pushedAt":"2024-07-10T20:18:14.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"timothytrippel","name":"Timothy Trippel","path":"/timothytrippel","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5633066?s=80&v=4"},"commit":{"message":"[BLOCKFILE] Block changes to ROM self hash test incl. golden ROM hashes\n\nThe ROM self hash test itself is run in CI. It fails unless the golden\nROM hashes are updated in sync with potential ROM changes. Thus, it's\nsufficient to block changes to the ROM self hash test and the golden\nhashes to prevent accidental ROM changes.\n\nSigned-off-by: Pirmin Vogel ","shortMessageHtmlLink":"[BLOCKFILE] Block changes to ROM self hash test incl. golden ROM hashes"}},{"before":"cc863af5dc5568966f85ff4c41eddcdcd8661d7f","after":"4e22534aaa0c946a741879d0d618b150157e3c62","ref":"refs/heads/master","pushedAt":"2024-07-10T18:36:53.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"timothytrippel","name":"Timothy Trippel","path":"/timothytrippel","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5633066?s=80&v=4"},"commit":{"message":"[dv] update the ROM self hash GLS test\n\nThis update the ROM self hash GLS test to use the a flash binary built\nfor the \"silicon\" device as this has the correct UART speed constants\nthat are compiled and configured by the ROM. This test is intended for\nuse by backend integrators during final netlist preparations.\n\nSigned-off-by: Tim Trippel ","shortMessageHtmlLink":"[dv] update the ROM self hash GLS test"}},{"before":null,"after":"239a08dd4ce9506cc602bf17fd1e84b414da24a8","ref":"refs/heads/backport-23892-to-earlgrey_es_sival","pushedAt":"2024-07-10T14:50:27.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"github-actions[bot]","name":null,"path":"/apps/github-actions","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/15368?s=80&v=4"},"commit":{"message":"[opentitantool] Declare additional aliases of HyperDebug pins\n\nHyperDebug has no flexibility on which pins to use for SPI1, SPI2,\nUARTs, etc. This CL declares a number of alises, that allow referring\nto pins such as \"UART3_TX\", which may be convenient from tests which\nwant to use e.g. `GpioMonitoring` for logic analyzer functionality on\npins used for UART, SPI or I2C.\n\nChange-Id: I58c3af6bf85e31de067e6813969f58de4347894b\nSigned-off-by: Jes B. Klinke \n(cherry picked from commit 492177872e08338bd6c5f0170a77aee6574967da)","shortMessageHtmlLink":"[opentitantool] Declare additional aliases of HyperDebug pins"}}],"hasNextPage":true,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"djE6ks8AAAAEfryHxwA","startCursor":null,"endCursor":null}},"title":"Activity · lowRISC/opentitan"}