-
Notifications
You must be signed in to change notification settings - Fork 0
/
Makefile
28 lines (22 loc) · 966 Bytes
/
Makefile
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
RTL=rtl/avdac.v rtl/spiflashro32.v rtl/pll.v
avdac_riegel:
mkdir -p output
yosys -q -p "synth_ice40 -top avdac -json output/avdac.json" $(RTL)
nextpnr-ice40 --hx4k --package bg121 --pcf boards/riegel.pcf \
--asc output/avdac.txt --json output/avdac.json
icebox_explain output/avdac.txt > output/avdac.ex
icetime -d u4k -c 24 -mtr output/avdac.rpt output/avdac.txt
icepack output/avdac.txt output/avdac.bin
avdac_schoko:
mkdir -p output
yosys -DECP5 -q -p "synth_ecp5 -top avdac -json output/avdac.json" $(RTL)
nextpnr-ecp5 --45k --package CABGA256 --lpf boards/schoko.lpf --json output/avdac.json --textcfg output/avdac_out.config
ecppack -v --compress --freq 2.4 output/avdac_out.config --bit output/avdac.bit
prog_schoko:
openFPGALoader -c usb-blaster output/avdac.bit
prog_riegel:
ldprog -s output/avdac.bin
gen_sine:
ffmpeg -f lavfi -i "sine=frequency=1000:duration=5" -ac 2 -ar 48000 -f s16le -c:a pcm_s16le sine.pcm
clean:
rm -f output/*