Skip to content

Latest commit

 

History

History
2 lines (2 loc) · 465 Bytes

README.md

File metadata and controls

2 lines (2 loc) · 465 Bytes

Automatic-washing-machine

This project proposes to demonstrate the capabilities and scope of Verilog HDL by implementing the control system of an automatic washing machine. The above mentioned objective is achieved by implementing the Control System of an automatic washing using the Finite State Machine model. The washing machine control system generates all the control signals required for the operation of washing machine and is designed using Verilog HDL.