diff --git a/.github/espidf-ci/Dockerfile b/.github/espidf-ci/Dockerfile index cff2274..01f2b01 100644 --- a/.github/espidf-ci/Dockerfile +++ b/.github/espidf-ci/Dockerfile @@ -27,7 +27,7 @@ RUN ln -sf /bin/bash /bin/sh RUN cd / && \ git clone https://github.com/espressif/esp-idf && \ cd esp-idf && \ - git checkout v5.0.1 && \ + git checkout v5.1 && \ git submodule update --init --recursive RUN cd /esp-idf && \ diff --git a/.github/picosdk-ci/Dockerfile b/.github/picosdk-ci/Dockerfile index 0d0174b..c015196 100644 --- a/.github/picosdk-ci/Dockerfile +++ b/.github/picosdk-ci/Dockerfile @@ -12,7 +12,7 @@ ENV PICO_SDK_PATH=/pico-sdk RUN cd / && \ git clone https://github.com/raspberrypi/pico-sdk && \ cd pico-sdk && \ - git checkout 1.5.0 && \ + git checkout 1.5.1 && \ git submodule update --init --recursive RUN apt-get update && \ diff --git a/.github/pio-ci/Dockerfile b/.github/platformio-ci/Dockerfile similarity index 86% rename from .github/pio-ci/Dockerfile rename to .github/platformio-ci/Dockerfile index 2df0583..8b6bac4 100644 --- a/.github/pio-ci/Dockerfile +++ b/.github/platformio-ci/Dockerfile @@ -17,15 +17,16 @@ RUN cd /usr/bin && \ RUN ln -sf /bin/bash /bin/sh -RUN python -c \ - "$(curl -fsSL https://raw.githubusercontent.com/platformio/platformio/master/scripts/get-platformio.py)" +RUN curl -fsSL https://raw.githubusercontent.com/platformio/platformio-core-installer/master/get-platformio.py -o get-platformio.py; \ + python3 get-platformio.py; \ + rm get-platformio.py RUN ln -s ~/.platformio/penv/bin/platformio /usr/local/bin/platformio && \ ln -s ~/.platformio/penv/bin/pio /usr/local/bin/pio && \ ln -s ~/.platformio/penv/bin/piodebuggdb /usr/local/bin/piodebuggdb -RUN mkdir /pio-ard-ci && cd /pio-ard-ci && \ - pio project init -s && \ +RUN mkdir /platformio-ard-ci && cd /platformio-ard-ci && \ + platformio project init -s && \ echo >>platformio.ini && \ echo "[env]" >>platformio.ini && \ echo "framework = arduino" >>platformio.ini && \ @@ -53,10 +54,10 @@ RUN mkdir /pio-ard-ci && cd /pio-ard-ci && \ echo "[env:nucleo_l552ze_q]" >>platformio.ini && \ echo "platform = ststm32" >>platformio.ini && \ echo "board = nucleo_l552ze_q" >>platformio.ini && \ - pio pkg install + platformio pkg install -RUN mkdir /pio-idf-ci && cd /pio-idf-ci && \ - pio project init -s && \ +RUN mkdir /platformio-idf-ci && cd /platformio-idf-ci && \ + platformio project init -s && \ echo >>platformio.ini && \ echo "[env:esp32-s2-saola-1]" >>platformio.ini && \ echo "framework = espidf" >>platformio.ini && \ @@ -67,4 +68,4 @@ RUN mkdir /pio-idf-ci && cd /pio-idf-ci && \ echo "framework = espidf" >>platformio.ini && \ echo "platform = espressif32" >>platformio.ini && \ echo "board = esp32-c3-devkitm-1" >>platformio.ini && \ - pio pkg install + platformio pkg install diff --git a/.github/workflows/pio-builds.yml b/.github/workflows/platformio-builds.yml similarity index 68% rename from .github/workflows/pio-builds.yml rename to .github/workflows/platformio-builds.yml index 95f556b..cfde27c 100644 --- a/.github/workflows/pio-builds.yml +++ b/.github/workflows/platformio-builds.yml @@ -11,17 +11,17 @@ defaults: run: shell: bash jobs: - pio_info: + platformio_info: runs-on: ubuntu-latest - container: ghcr.io/pstolarz/pio-ci + container: ghcr.io/pstolarz/platformio-ci steps: - name: PlatformIO recent packages versions info run: | - cd /pio-ard-ci && sudo pio pkg list && sudo pio pkg outdated - cd /pio-idf-ci && sudo pio pkg list && sudo pio pkg outdated - pio_ard_build: + cd /platformio-ard-ci && sudo platformio pkg list && sudo platformio pkg outdated + cd /platformio-idf-ci && sudo platformio pkg list && sudo platformio pkg outdated + platformio_ard_build: runs-on: ubuntu-latest - container: ghcr.io/pstolarz/pio-ci + container: ghcr.io/pstolarz/platformio-ci strategy: matrix: plat: @@ -45,14 +45,14 @@ jobs: - name: Build run: | repo_dir=$(pwd) - cd /pio-ard-ci + cd /platformio-ard-ci cp ${repo_dir}/examples/arduino/${{ matrix.example }}/${{ matrix.example }}.ino \ src/${{ matrix.example }}.ino - sudo pio pkg install -l ${repo_dir} - sudo PLATFORMIO_BUILD_FLAGS="${BUILD_FLAGS}" pio run -e ${{ matrix.plat }} - pio_idf_build: + sudo platformio pkg install -l ${repo_dir} + sudo PLATFORMIO_BUILD_FLAGS="${BUILD_FLAGS}" platformio run -e ${{ matrix.plat }} + platformio_idf_build: runs-on: ubuntu-latest - container: ghcr.io/pstolarz/pio-ci + container: ghcr.io/pstolarz/platformio-ci strategy: matrix: plat: @@ -73,8 +73,8 @@ jobs: - name: Build run: | repo_dir=$(pwd) - cd /pio-idf-ci + cd /platformio-idf-ci cp ${repo_dir}/examples/esp-idf/${{ matrix.example }}/main/${{ matrix.example }}.cpp \ src/${{ matrix.example }}.cpp - sudo pio pkg install -l ${repo_dir} - sudo PLATFORMIO_BUILD_FLAGS="${BUILD_FLAGS}" pio run -e ${{ matrix.plat }} + sudo platformio pkg install -l ${repo_dir} + sudo PLATFORMIO_BUILD_FLAGS="${BUILD_FLAGS}" platformio run -e ${{ matrix.plat }} diff --git a/README.md b/README.md index dcd8562..0b18894 100644 --- a/README.md +++ b/README.md @@ -1,9 +1,7 @@ -[![Stand With Ukraine](https://raw.githubusercontent.com/vshymanskyy/StandWithUkraine/main/banner2-direct.svg)](https://vshymanskyy.github.io/StandWithUkraine/) - # OneWireNg [![Arduino builds](https://github.com/pstolarz/OneWireNg/workflows/Arduino%20builds/badge.svg?branch=master)](https://github.com/pstolarz/OneWireNg/actions/workflows/ard-builds.yml) -[![PlatformIO builds](https://github.com/pstolarz/OneWireNg/workflows/PlatformIO%20builds/badge.svg?branch=master)](https://github.com/pstolarz/OneWireNg/actions/workflows/pio-builds.yml) +[![PlatformIO builds](https://github.com/pstolarz/OneWireNg/workflows/PlatformIO%20builds/badge.svg?branch=master)](https://github.com/pstolarz/OneWireNg/actions/workflows/platformio-builds.yml) [![ESP-IDF builds](https://github.com/pstolarz/OneWireNg/workflows/ESP-IDF%20builds/badge.svg?branch=master)](https://github.com/pstolarz/OneWireNg/actions/workflows/espidf-builds.yml) [![Pico SDK builds](https://github.com/pstolarz/OneWireNg/workflows/Pico%20SDK%20builds/badge.svg?branch=master)](https://github.com/pstolarz/OneWireNg/actions/workflows/picosdk-builds.yml) [![Mbed OS builds](https://github.com/pstolarz/OneWireNg/workflows/Mbed%20OS%20builds/badge.svg?branch=master)](https://github.com/pstolarz/OneWireNg/actions/workflows/mbedos-builds.yml)