diff --git a/CHANGELOG.md b/CHANGELOG.md index a0d20cb5..d5c200fd 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -4,11 +4,12 @@ All notable changes to this project will be documented in this file. The format is based on [Keep a Changelog](http://keepachangelog.com/en/1.0.0/) -## [1.10.0] - Unreleased +## [1.10.0] - 2023-01-06 -- Added an experimental option for Xilinx xvlog linting. +- Added an experimental option for xvlog linting. [#382](https://github.com/mshr-h/vscode-verilog-hdl-support/pull/382) - `verilog.linting.xvlog.includePath` is to specify include directories. - \[Caution\] I've only tested on Ubuntu on WSL2 platform. If you find any problems about xvlog linting, please let me know. +- Internal cleanup [#383](https://github.com/mshr-h/vscode-verilog-hdl-support/pull/383) ## [1.9.0] - 2022-12-29 diff --git a/package-lock.json b/package-lock.json index 5bcbde93..a0154c08 100644 --- a/package-lock.json +++ b/package-lock.json @@ -1,12 +1,12 @@ { "name": "veriloghdl", - "version": "1.9.0", + "version": "1.10.0", "lockfileVersion": 3, "requires": true, "packages": { "": { "name": "veriloghdl", - "version": "1.9.0", + "version": "1.10.0", "dependencies": { "antlr4": "^4.11.0", "antlr4ts": "^0.5.0-alpha.4", diff --git a/package.json b/package.json index fb6e8633..747f8f89 100644 --- a/package.json +++ b/package.json @@ -2,7 +2,7 @@ "name": "veriloghdl", "displayName": "Verilog-HDL/SystemVerilog/Bluespec SystemVerilog", "description": "Verilog-HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code", - "version": "1.9.0", + "version": "1.10.0", "publisher": "mshr-h", "homepage": "https://github.com/mshr-h/vscode-verilog-hdl-support", "repository": {