Skip to content

Commit

Permalink
fixes on timer_lib and sync_lib
Browse files Browse the repository at this point in the history
  • Loading branch information
Ricardo Tafas committed Apr 7, 2021
1 parent e3a2e0a commit 3bd5de1
Show file tree
Hide file tree
Showing 4 changed files with 7 additions and 3 deletions.
6 changes: 3 additions & 3 deletions sync_lib/stretch_sync.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -57,9 +57,9 @@ begin
end if;
end process;

dout_o <= '1' when ( da_i and db_i = '1' ) else
'1' when ( da_tmp and db_i = '1' ) else
'1' when ( db_tmp and da_i = '1' ) else
dout_o <= '1' when ( da_i and db_i ) = '1' else
'1' when ( da_tmp and db_i ) = '1' else
'1' when ( db_tmp and da_i ) = '1' else
'0';

end behavioral;
1 change: 1 addition & 0 deletions timer_lib/adpll.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -82,6 +82,7 @@ begin
rst_i => rst_i,
mclk_i => mclk_i,
scaler_i => '1',
sync_i => '0',
n_value_i => n_value_s,
clkout_o => clkout_s
);
Expand Down
2 changes: 2 additions & 0 deletions timer_lib/timer_lib.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -70,6 +70,7 @@ package timer_lib is
rst_i : in std_logic;
mclk_i : in std_logic;
scaler_i : in std_logic;
sync_i : in std_logic;
n_value_i : in std_logic_vector;
clkout_o : out std_logic
);
Expand Down Expand Up @@ -152,6 +153,7 @@ package timer_lib is
rst_i : in std_logic;
mclk_i : in std_logic;
scaler_i : in std_logic;
sync_i : in std_logic;
n_value_i : in std_logic_vector(NCO_size_c-1 downto 0);
clkout_o : out std_logic
);
Expand Down
1 change: 1 addition & 0 deletions timer_lib/timer_lib_tb.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -129,6 +129,7 @@ begin
rst_i => rst_i,
mclk_i => clk_i,
scaler_i => '1',
sync_i => '0',
n_value_i => (15 downto 0 => '0'),
clkout_o => gen_clk_s
);
Expand Down

0 comments on commit 3bd5de1

Please sign in to comment.