diff --git a/tk2_linux.sdk/device_tree_bsp_0/Makefile b/tk2_linux.sdk/device_tree_bsp_0/Makefile index 41099f7..37f0c6a 100644 --- a/tk2_linux.sdk/device_tree_bsp_0/Makefile +++ b/tk2_linux.sdk/device_tree_bsp_0/Makefile @@ -2,7 +2,7 @@ dtsi: dtc -O dtb -I dts -o temp.dtb system.dts - dtc -O dts -I dtb -o system-all.dts temp.dtb + dtc -O dts -I dtb -o temp.dts temp.dtb rm -f temp.dtb dtb: diff --git a/tk2_linux.sdk/device_tree_bsp_0/devicetree.dts b/tk2_linux.sdk/device_tree_bsp_0/devicetree.dts index d89435f..a3e1bfc 100644 --- a/tk2_linux.sdk/device_tree_bsp_0/devicetree.dts +++ b/tk2_linux.sdk/device_tree_bsp_0/devicetree.dts @@ -114,7 +114,7 @@ interrupt-parent = <0x3>; interrupts = <0x0 0x14 0x4>; reg = <0xe000a000 0x1000>; - emio-gpio-width = <0x4>; + emio-gpio-width = <0xc>; gpio-mask-high = <0x0>; gpio-mask-low = <0x5600>; linux,phandle = <0x5>; @@ -508,7 +508,7 @@ interrupts = <0x0 0x1e 0x1>; port-number = <0x2>; reg = <0x42c10000 0x10000>; - xlnx,baudrate = <0x1c200>; + xlnx,baudrate = <0xe100>; xlnx,data-bits = <0x8>; xlnx,odd-parity = <0x0>; xlnx,s-axi-aclk-freq-hz-d = "50.0"; diff --git a/tk2_linux.sdk/device_tree_bsp_0/pl.dtsi b/tk2_linux.sdk/device_tree_bsp_0/pl.dtsi index 7835e05..a53ff90 100644 --- a/tk2_linux.sdk/device_tree_bsp_0/pl.dtsi +++ b/tk2_linux.sdk/device_tree_bsp_0/pl.dtsi @@ -1,7 +1,7 @@ /* * CAUTION: This file is automatically generated by Xilinx. * Version: - * Today is: Thu Mar 10 14:25:57 2016 + * Today is: Sat Mar 26 00:04:02 2016 */ @@ -37,7 +37,7 @@ interrupts = <0 30 1>; port-number = <2>; reg = <0x42c10000 0x10000>; - xlnx,baudrate = <0x1c200>; + xlnx,baudrate = <0xe100>; xlnx,data-bits = <0x8>; xlnx,odd-parity = <0x0>; xlnx,s-axi-aclk-freq-hz-d = "50.0"; diff --git a/tk2_linux.sdk/device_tree_bsp_0/system.dts b/tk2_linux.sdk/device_tree_bsp_0/system.dts index d5d4363..19bf724 100644 --- a/tk2_linux.sdk/device_tree_bsp_0/system.dts +++ b/tk2_linux.sdk/device_tree_bsp_0/system.dts @@ -1,7 +1,7 @@ /* * CAUTION: This file is automatically generated by Xilinx. * Version: - * Today is: Thu Mar 10 14:25:57 2016 + * Today is: Sat Mar 26 00:04:02 2016 */ @@ -41,7 +41,7 @@ xlnx,ptp-enet-clock = <0x69f6bcb>; }; &gpio0 { - emio-gpio-width = <4>; + emio-gpio-width = <12>; gpio-mask-high = <0x0>; gpio-mask-low = <0x5600>; }; diff --git a/tk2_linux.sdk/device_tree_bsp_0/system.mss b/tk2_linux.sdk/device_tree_bsp_0/system.mss index 328c0de..8cc3ba2 100644 --- a/tk2_linux.sdk/device_tree_bsp_0/system.mss +++ b/tk2_linux.sdk/device_tree_bsp_0/system.mss @@ -41,7 +41,7 @@ BEGIN DRIVER PARAMETER interrupts = 0 30 1 PARAMETER port-number = 2 PARAMETER reg = 0x42c10000 0x10000 - PARAMETER xlnx,baudrate = 115200 + PARAMETER xlnx,baudrate = 57600 PARAMETER xlnx,data-bits = 8 PARAMETER xlnx,odd-parity = 0 PARAMETER xlnx,s-axi-aclk-freq-hz-d = 50.0 @@ -135,7 +135,7 @@ END BEGIN DRIVER PARAMETER DRIVER_NAME = gpiops PARAMETER HW_INSTANCE = ps7_gpio_0 - PARAMETER emio-gpio-width = 4 + PARAMETER emio-gpio-width = 12 PARAMETER gpio-mask-high = 0 PARAMETER gpio-mask-low = 22016 END diff --git a/tk2_linux.srcs/constrs_1/system.xdc b/tk2_linux.srcs/constrs_1/system.xdc index f3dddd2..abb3071 100644 --- a/tk2_linux.srcs/constrs_1/system.xdc +++ b/tk2_linux.srcs/constrs_1/system.xdc @@ -39,11 +39,16 @@ set_property PACKAGE_PIN Y16 [get_ports {gpio_0_tri_io[0]}] set_property PACKAGE_PIN Y17 [get_ports {gpio_0_tri_io[1]}] set_property PACKAGE_PIN R14 [get_ports {gpio_0_tri_io[2]}] set_property PACKAGE_PIN P18 [get_ports {gpio_0_tri_io[3]}] +set_property PACKAGE_PIN M15 [get_ports {gpio_0_tri_io[4]}] +set_property PACKAGE_PIN L15 [get_ports {gpio_0_tri_io[5]}] +set_property PACKAGE_PIN L14 [get_ports {gpio_0_tri_io[6]}] +set_property PACKAGE_PIN T9 [get_ports {gpio_0_tri_io[7]}] +set_property PACKAGE_PIN U10 [get_ports {gpio_0_tri_io[8]}] +set_property PACKAGE_PIN V12 [get_ports {gpio_0_tri_io[9]}] +set_property PACKAGE_PIN H20 [get_ports {gpio_0_tri_io[10]}] +set_property PACKAGE_PIN H15 [get_ports {gpio_0_tri_io[11]}] -set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[3]}] -set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[2]}] -set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[1]}] -set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io}] set_property IOSTANDARD LVCMOS33 [get_ports pwm] set_property IOSTANDARD LVCMOS33 [get_ports pwm_1] diff --git a/tk2_linux.srcs/sources_1/bd/design_1/design_1.bd b/tk2_linux.srcs/sources_1/bd/design_1/design_1.bd index 3cb843f..51be2bd 100644 --- a/tk2_linux.srcs/sources_1/bd/design_1/design_1.bd +++ b/tk2_linux.srcs/sources_1/bd/design_1/design_1.bd @@ -323,7 +323,7 @@ 1 MIO 1 - 4 + 12 @@ -402,7 +402,7 @@ design_1_axi_uartlite_0_2 - 115200 + 57600 diff --git a/tk2_linux.xpr b/tk2_linux.xpr index 5589a08..f463cda 100644 --- a/tk2_linux.xpr +++ b/tk2_linux.xpr @@ -34,6 +34,28 @@ + + + + + + + + + + + + + + + + + + + + + +