diff --git a/LICENSE b/LICENSE index b48ab4f..d8a5747 100644 --- a/LICENSE +++ b/LICENSE @@ -1,21 +1,11 @@ -MIT License +Copyright Microfire LLC 2024. -Copyright (c) 2024 Justin Decker +This source describes Open Hardware and is licensed under the CERN-OHL-P +v2. -Permission is hereby granted, free of charge, to any person obtaining a copy -of this software and associated documentation files (the "Software"), to deal -in the Software without restriction, including without limitation the rights -to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -copies of the Software, and to permit persons to whom the Software is -furnished to do so, subject to the following conditions: - -The above copyright notice and this permission notice shall be included in all -copies or substantial portions of the Software. - -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -SOFTWARE. \ No newline at end of file +You may redistribute and modify this documentation and make products +using it under the terms of the CERN-OHL-P v2 (https:/cern.ch/cern-ohl). +This documentation is distributed WITHOUT ANY EXPRESS OR IMPLIED +WARRANTY, INCLUDING OF MERCHANTABILITY, SATISFACTORY QUALITY +AND FITNESS FOR A PARTICULAR PURPOSE. Please see the CERN-OHL-P v2 +for applicable conditions. \ No newline at end of file diff --git a/README.md b/README.md index 7349b76..330a6bd 100644 --- a/README.md +++ b/README.md @@ -1,3 +1,82 @@ -# pca9615 +# PCA9615 -Wooohooo a new package! \ No newline at end of file +📒 [Datasheet](https://www.nxp.com/docs/en/data-sheet/PCA9615.pdf) + +This repository is an [atopile](https://atopile.io/) module for the PCA9615, 2-channel multipoint Fast-mode differential I2C-bus. In other words, it lets +you use I2C like you might use MODBUS, ie. over long wires and through noisy environments. + +## 🏁 Get started +### Installation +From inside a project directory terminal: `ato install pac9615-ato` + +### Code +```Go +from "pca9615/elec/src/pca9615.ato" import PCA9615 +from "generics/interfaces.ato" import DiffPair, Power, I2C, GPIO + +module Test: + # define virtual buses, connect these to physical components/pins + power = new Power + d_sda = new DiffPair + d_scl = new DiffPair + i2c = new I2C + power_a_2v3_5v5 = new Power + power_b_3v0_5v5 = new Power + differential_side_power = new Power + en = new GPIO + + # define the PCA9615 + pca9615 = new PCA9615 + + # PCA9615 enable pin is driven high be an internal pullup + # to disable chip, drive low + en ~ pca9615.en + + # connect power to normal I2C side + power_a_2v3_5v5 ~ pca9615.power_a_2v3_5v5 + + # differential power can be seperate or the same as the I2C side + pca9615.power_b_3v0_5v5 ~ power_a_2v3_5v5 + # - or - + pca9615.power_b_3v0_5v5 ~ differential_side_power + + # normal I2C connection + i2c ~ pca9615.i2c + + # differential pairs + d_sda ~ pca9615.d_sda + d_scl ~ pca9615.d_scl +``` + +## 🤔 Design Considerations +- d_sda and d_scl must be routed as differential pairs (`6` in KiCAD PCB Editor) +- power on the I2C side can be seperate from the differential side, common grounds are not required +- the I2C side can accept between 2.3 - 5.5 volts +- the differential side can accept 3.0 - 5.5 volts +- an RJ45 connector and CAT6 cable work well for making the connections + +## 🔌 Connections + +|MCU | | MCU PCA9615 | |Sensor PCA9615 | | Sensor | +|-------: |-: |---: |:-: |:--- |:-: | :-| +| | | MCU PCA9615.d_SDA 🟩|~ |🟩 Sensor PCA9615.d_SDA|~ | +| | | MCU PCA9615.d_SCL 🟪|~ |🟪 Sensor PCA9615.d_SCL|~ +| MCU.SDA 🟨 |~ | 🟨 MCU PCA9615.SDA 🟨| |🟨 Sensor PCA9615.SDA|~ |🟨 Sensor.SDA +| MCU.SCL 🟦 |~ | 🟦 MCU PCA9615.SCL 🟦| |🟦 Sensor PCA9615.SCL|~ |🟦 Sensor.SCL +| *MCU.VCC* 🟥 | ~| 🟥 *MCU PCA9615.VCC* 🟥 |*(optional)*|🟥 *Sensor.VCC*|~ | 🟥 *Sensor.VCC* +|**MCU.GND**⬛ |~ | ⬛ **MCU.GND** ⬛|*(optional)*|⬛ **Sensor.GND**|~|⬛ **Sensor.GND** + + +## ⚡ Programming +Once connected, the sensor (or just the other side) appear as a normal I2C device to the host. Nothing special needs to be done. + +## 🙏 Contributing +This design is intended to be a community best-effort at a minimal circuit combining: +- datasheet reference design +- readily available components +- modular and reusable layout + +You are greatly encouraged to contribute or discuss any improvements here so that everyone may benefit. + +## License +[CERN-OHL-P v2](https:/cern.ch/cern-ohl) \ No newline at end of file diff --git a/ato.yaml b/ato.yaml index 98c2844..d84f91d 100644 --- a/ato.yaml +++ b/ato.yaml @@ -1,6 +1,6 @@ ato-version: ^0.2.0 builds: default: - entry: elec/src/pca9615.ato:Pca9615 + entry: elec/src/pca9615.ato:PCA9615 dependencies: - generics \ No newline at end of file diff --git a/elec/footprints/footprints.3dshapes/TSSOP-10_L3.0-W3.0-H1.0-LS4.9-P0.5.wrl b/elec/footprints/footprints.3dshapes/TSSOP-10_L3.0-W3.0-H1.0-LS4.9-P0.5.wrl new file mode 100644 index 0000000..3ac63b9 --- /dev/null +++ b/elec/footprints/footprints.3dshapes/TSSOP-10_L3.0-W3.0-H1.0-LS4.9-P0.5.wrl @@ -0,0 +1,118 @@ +#VRML V2.0 utf8 +# 3D model generated by easyeda2kicad.py (https://github.com/uPesy/easyeda2kicad.py) + +Shape{ + appearance Appearance { + material Material { + diffuseColor 0.2235294117647059 0.2235294117647059 0.2235294117647059 + specularColor 0.06666666666666667 0.06666666666666667 0.06666666666666667 + ambientIntensity 0.2 + transparency 0 + shininess 0.5 + } + } + geometry IndexedFaceSet { + ccw TRUE + solid FALSE + coord DEF co Coordinate { + point [ + 0.2411 -0.5906 0.248, 0.2411 -0.5906 0.189, 0.3494 -0.5906 0.248, 0.3494 -0.5906 0.189, 0.0443 -0.5906 0.248, 0.0443 -0.5906 0.189, 0.1526 -0.5906 0.248, 0.1526 -0.5906 0.189, -0.1526 -0.5906 0.248, -0.1526 -0.5906 0.189, -0.0443 -0.5906 0.248, -0.0443 -0.5906 0.189, -0.3494 -0.5906 0.248, -0.3494 -0.5906 0.189, -0.2411 -0.5906 0.248, -0.2411 -0.5906 0.189, 0.2411 0.5906 0.189, 0.2411 0.5906 0.248, 0.3494 0.5906 0.189, 0.3494 0.5906 0.248, 0.0443 0.5906 0.189, 0.0443 0.5906 0.248, 0.1526 0.5906 0.189, 0.1526 0.5906 0.248, -0.1526 0.5906 0.189, -0.1526 0.5906 0.248, -0.0443 0.5906 0.189, -0.0443 0.5906 0.248, -0.3494 0.5906 0.189, -0.3494 0.5906 0.248, -0.2411 0.5906 0.189, -0.2411 0.5906 0.248, -0.5591 0.5906 0.189, -0.5591 0.5906 0.248, -0.438 0.5906 0.189, -0.438 0.5906 0.248, -0.5591 -0.5906 0.248, -0.5591 -0.5906 0.189, -0.438 -0.5906 0.248, -0.438 -0.5906 0.189, 0.0709 -0.2236 0.0512, -0.0343 -0.2236 0.0512, 0.5438 -0.0694 0.0512, -0.0343 -0.1789 0.0512, 0.0594 -0.1086 0.0512, -0.0293 -0.0831 0.0512, 0.0575 -0.0943 0.0512, -0.0281 -0.081 0.0512, 0.0518 -0.081 0.0512, 0.0677 -0.081 0.0512, 0.0581 -0.1203 0.0512, 0.0549 -0.129 0.0512, 0.0493 -0.1374 0.0512, 0.0414 -0.1445 0.0512, 0.0322 -0.1493 0.0512, 0.0194 -0.1525 0.0512, 0.0106 -0.153 0.0512, -0.0022 -0.1518 0.0512, -0.0124 -0.1486 0.0512, -0.0357 -0.1113 0.0512, -0.0264 -0.1382 0.0512, -0.0345 -0.1222 0.0512, -0.0313 -0.1309 0.0512, -0.0206 -0.1437 0.0512, -0.034 -0.0956 0.0512, -0.0472 -0.2394 0.0512, -0.0479 -0.1204 0.0512, -0.0472 -0.1789 0.0512, -0.0471 -0.128 0.0512, -0.0432 -0.1395 0.0512, -0.037 -0.1492 0.0512, -0.0276 -0.158 0.0512, -0.0163 -0.1642 0.0512, -0.0038 -0.1677 0.0512, 0.0509 -0.1557 0.0512, 0.0608 -0.1454 0.0512, 0.0673 -0.1344 0.0512, 0.0712 -0.1214 0.0512, 0.0723 -0.1088 0.0512, 0.0714 -0.0946 0.0512, 0.0096 -0.1688 0.0512, 0.0264 -0.1671 0.0512, 0.0398 -0.1626 0.0512, -0.0411 -0.081 0.0512, -0.0463 -0.0936 0.0512, -0.0479 -0.0694 0.0512, -0.0479 -0.1055 0.0512, -0.5438 -0.0694 0.0512, -0.0486 -0.1102 0.0512, -0.0487 -0.1135 0.0512, 0.0709 -0.2394 0.0512, 0.5438 -0.5438 0.0512, -0.0479 -0.5438 0.0512, -0.5438 -0.5438 0.0512, -0.0472 0.0055 0.0512, -0.0472 -0.0579 0.0512, 0.0709 -0.0579 0.0512, 0.0709 0.0026 0.0512, 0.5438 0.5438 0.0512, -0.0472 0.2388 0.0512, -0.0472 0.2215 0.0512, 0.0709 0.1769 0.0512, 0.0709 0.1927 0.0512, -0.0141 0.2096 0.0512, -0.0141 0.1608 0.0512, -0.0472 0.1495 0.0512, -0.0472 0.1322 0.0512, 0.0691 0.0789 0.0512, 0.0642 0.0927 0.0512, -0.0479 0.5438 0.0512, 0.0579 -0.0421 0.0512, 0.019 -0.0421 0.0512, 0.0579 0.0026 0.0512, 0.019 -0.0003 0.0512, 0.0061 -0.0003 0.0512, 0.0061 -0.0421 0.0512, -0.0343 -0.0421 0.0512, 0.0429 0.1162 0.0512, 0.0314 0.1211 0.0512, -0.0343 0.0055 0.0512, -0.0472 0.0285 0.0512, 0.0709 0.0285 0.0512, 0.0566 0.1044 0.0512, 0.0523 0.109 0.0512, -0.0148 0.1177 0.0512, -0.0254 0.1109 0.0512, -0.0347 0.1012 0.0512, -0.0419 0.0888 0.0512, 0.0709 0.0618 0.0512, -0.0472 0.0606 0.0512, -0.0459 0.0752 0.0512, 0.0191 0.1233 0.0512, 0.0133 0.1236 0.0512, -0.0025 0.1219 0.0512, -0.5438 0.5438 0.0512, 0.5906 -0.5591 0.189, 0.5906 0.5591 0.189, 0.5906 -0.5591 0.248, 0.5906 0.5591 0.248, 0.438 0.5906 0.248, 0.5591 0.5906 0.248, 0.438 0.5906 0.189, 0.5591 0.5906 0.189, -0.5906 -0.5591 0.248, -0.5906 0.5591 0.248, -0.5906 -0.5591 0.189, -0.5906 0.5591 0.189, 0.438 -0.5906 0.189, 0.5591 -0.5906 0.189, 0.438 -0.5906 0.248, 0.5591 -0.5906 0.248, -0.3374 -0.3378 0.3858, -0.3397 -0.3556 0.3858, 0.5438 -0.473 0.3858, -0.3466 -0.3722 0.3858, -0.3575 -0.3865 0.3858, -0.4659 -0.3722 0.3858, -0.4728 -0.3556 0.3858, -0.5438 -0.473 0.3858, -0.3718 -0.3974 0.3858, -0.3884 -0.4043 0.3858, -0.4062 -0.4067 0.3858, -0.4241 -0.4043 0.3858, -0.4751 -0.3378 0.3858, -0.4728 -0.3199 0.3858, -0.5438 0.5438 0.3858, -0.4659 -0.3033 0.3858, -0.4407 -0.3974 0.3858, -0.455 -0.3865 0.3858, -0.455 -0.289 0.3858, -0.4407 -0.2781 0.3858, -0.4241 -0.2712 0.3858, -0.4062 -0.2689 0.3858, -0.3884 -0.2712 0.3858, 0.5438 0.5438 0.3858, -0.3718 -0.2781 0.3858, -0.3575 -0.289 0.3858, -0.3466 -0.3033 0.3858, -0.3397 -0.3199 0.3858, 0.5438 0.575 0.3587, 0.5594 0.5905 0.248, -0.5438 0.575 0.3587, -0.5594 0.5905 0.248, -0.5905 -0.5594 0.248, -0.5866 -0.5554 0.2764, -0.575 -0.473 0.3587, -0.575 0.5438 0.3587, -0.5905 0.5594 0.248, 0.5905 0.5594 0.248, 0.575 0.5438 0.3587, 0.575 -0.473 0.3587, 0.5866 -0.5554 0.2764, 0.5905 -0.5594 0.248, -0.5554 -0.5866 0.2764, -0.5594 -0.5905 0.248, 0.5554 -0.5866 0.2764, 0.5594 -0.5905 0.248, 0.5438 -0.575 0.0783, 0.5594 -0.5905 0.189, -0.5438 -0.575 0.0783, -0.5594 -0.5905 0.189, 0.5905 -0.5594 0.189, 0.575 -0.5438 0.0783, 0.575 0.5438 0.0783, 0.5905 0.5594 0.189, -0.5905 0.5594 0.189, -0.575 0.5438 0.0783, -0.575 -0.5438 0.0783, -0.5905 -0.5594 0.189, -0.5438 0.575 0.0783, -0.5594 0.5905 0.189, 0.5438 0.575 0.0783, 0.5594 0.5905 0.189, 0.5438 -0.4953 0.3766, -0.5438 -0.4953 0.3766, 0.5554 -0.5777 0.2943, -0.5554 -0.5777 0.2943, -0.5438 0.5512 0.0521, -0.5457 0.551 0.0521, -0.5583 0.5438 0.0547, -0.5583 0.5438 0.0547, -0.5579 0.5476 0.0547, -0.5645 0.5438 0.0589, -0.5646 0.5438 0.059, -0.5639 0.5492 0.059, -0.5687 0.5505 0.0646, -0.5722 0.5514 0.0713, -0.5692 0.5585 0.0713, -0.5518 0.5738 0.0773, -0.5438 0.5732 0.0713, -0.5592 0.5706 0.0767, -0.5518 0.5738 0.0773, -0.5514 0.5722 0.0713, -0.5738 0.5518 0.0773, -0.5738 0.5518 0.0773, -0.5706 0.5592 0.0767, -0.5706 0.5593 0.0767, -0.5656 0.5656 0.0765, -0.5732 0.5438 0.0713, -0.5731 0.5438 0.0711, -0.5696 0.5438 0.0646, -0.5695 0.5438 0.0645, -0.5438 0.5731 0.0711, -0.5505 0.5687 0.0646, -0.5661 0.5567 0.0646, -0.5646 0.5646 0.0713, -0.5438 0.5696 0.0646, -0.5438 0.5695 0.0645, -0.5492 0.5639 0.059, -0.5618 0.5542 0.059, -0.562 0.562 0.0646, -0.5438 0.5646 0.059, -0.5438 0.5645 0.0589, -0.5476 0.5579 0.0547, -0.5585 0.5585 0.059, -0.5564 0.5511 0.0547, -0.551 0.5457 0.0521, -0.5593 0.5706 0.0767, -0.5585 0.5692 0.0713, -0.5567 0.5661 0.0646, -0.5542 0.5618 0.059, -0.5511 0.5564 0.0547, -0.5475 0.5503 0.0521, -0.5512 0.5438 0.0521, -0.5513 0.5438 0.0521, -0.5541 0.5541 0.0547, -0.5503 0.5475 0.0521, -0.5491 0.5491 0.0521, -0.5438 0.5583 0.0547, -0.5438 0.5583 0.0547, -0.5438 0.5513 0.0521, -0.5749 0.5863 0.1882, -0.5748 0.5863 0.1882, -0.5674 0.5894 0.1885, -0.5672 0.5895 0.1885, -0.5813 0.5813 0.1881, -0.5863 0.5749 0.1882, -0.5863 0.5748 0.1882, -0.5894 0.5674 0.1885, -0.5895 0.5672 0.1885, 0.5438 0.5732 0.0713, 0.5438 0.5731 0.0711, 0.5438 0.5696 0.0646, 0.5438 0.5695 0.0645, 0.5438 0.5646 0.059, 0.5438 0.5645 0.0589, 0.5438 0.5583 0.0547, 0.5438 0.5583 0.0547, 0.5438 0.5513 0.0521, 0.5438 0.5512 0.0521, -0.5512 -0.5438 0.0521, -0.5732 -0.5438 0.0713, -0.5731 -0.5438 0.0711, -0.5696 -0.5438 0.0646, -0.5695 -0.5438 0.0645, -0.5646 -0.5438 0.059, -0.5645 -0.5438 0.0589, -0.5583 -0.5438 0.0547, -0.5583 -0.5438 0.0547, -0.5513 -0.5438 0.0521, -0.5895 0.5672 0.2485, -0.5894 0.5674 0.2485, -0.5863 0.5748 0.2488, -0.5863 0.5749 0.2488, -0.5813 0.5813 0.2489, -0.5749 0.5863 0.2488, -0.5748 0.5863 0.2488, -0.5674 0.5894 0.2485, -0.5672 0.5895 0.2485, 0.5512 0.5438 0.0521, 0.5511 0.5455 0.0521, 0.5485 0.5497 0.0521, 0.5497 0.5485 0.0521, 0.547 0.558 0.0547, 0.5484 0.5641 0.059, 0.5495 0.569 0.0646, 0.5503 0.5724 0.0713, 0.5565 0.5703 0.0713, 0.5706 0.5592 0.0767, 0.5715 0.5572 0.0769, 0.5703 0.5565 0.0713, 0.5738 0.5518 0.0773, 0.574 0.5507 0.0775, 0.5724 0.5503 0.0713, 0.5621 0.5668 0.0713, 0.5629 0.5678 0.0766, 0.5656 0.5656 0.0765, 0.5572 0.5715 0.0769, 0.5592 0.5706 0.0767, 0.5518 0.5738 0.0773, 0.5507 0.574 0.0775, 0.5732 0.5438 0.0713, 0.5731 0.5438 0.0711, 0.569 0.5495 0.0646, 0.555 0.567 0.0646, 0.5696 0.5438 0.0646, 0.5695 0.5438 0.0645, 0.5641 0.5484 0.059, 0.5528 0.5625 0.059, 0.5599 0.564 0.0646, 0.5668 0.5621 0.0713, 0.5678 0.5629 0.0766, 0.5646 0.5438 0.059, 0.5645 0.5438 0.0589, 0.558 0.547 0.0547, 0.564 0.5599 0.0646, 0.5568 0.56 0.059, 0.5501 0.5569 0.0547, 0.5455 0.5511 0.0521, 0.5552 0.5529 0.0547, 0.567 0.555 0.0646, 0.5625 0.5528 0.059, 0.5569 0.5501 0.0547, 0.5506 0.5471 0.0521, 0.56 0.5568 0.059, 0.5529 0.5552 0.0547, 0.5471 0.5506 0.0521, 0.5583 0.5438 0.0547, 0.5583 0.5438 0.0547, 0.5513 0.5438 0.0521, -0.551 -0.5457 0.0521, -0.5438 -0.5583 0.0547, -0.5438 -0.5583 0.0547, -0.5476 -0.5579 0.0547, -0.5438 -0.5645 0.0589, -0.5438 -0.5646 0.059, -0.5492 -0.5639 0.059, -0.5505 -0.5687 0.0646, -0.5514 -0.5722 0.0713, -0.5585 -0.5692 0.0713, -0.5738 -0.5518 0.0773, -0.5706 -0.5592 0.0767, -0.5738 -0.5518 0.0773, -0.5722 -0.5514 0.0713, -0.5518 -0.5738 0.0773, -0.5518 -0.5738 0.0773, -0.5592 -0.5706 0.0767, -0.5593 -0.5706 0.0767, -0.5656 -0.5656 0.0765, -0.5438 -0.5732 0.0713, -0.5438 -0.5731 0.0711, -0.5438 -0.5696 0.0646, -0.5438 -0.5695 0.0645, -0.5687 -0.5505 0.0646, -0.5567 -0.5661 0.0646, -0.5646 -0.5646 0.0713, -0.5639 -0.5492 0.059, -0.5542 -0.5618 0.059, -0.562 -0.562 0.0646, -0.5579 -0.5476 0.0547, -0.5585 -0.5585 0.059, -0.5511 -0.5564 0.0547, -0.5457 -0.551 0.0521, -0.5706 -0.5593 0.0767, -0.5692 -0.5585 0.0713, -0.5661 -0.5567 0.0646, -0.5618 -0.5542 0.059, -0.5564 -0.5511 0.0547, -0.5503 -0.5475 0.0521, -0.5438 -0.5512 0.0521, -0.5438 -0.5513 0.0521, -0.5541 -0.5541 0.0547, -0.5475 -0.5503 0.0521, -0.5491 -0.5491 0.0521, -0.5738 0.5518 0.3597, -0.5738 0.5518 0.3597, -0.5706 0.5592 0.3603, -0.5706 0.5593 0.3603, -0.5656 0.5656 0.3605, -0.5593 0.5706 0.3603, -0.5592 0.5706 0.3603, -0.5518 0.5738 0.3597, -0.5518 0.5738 0.3597, 0.5895 0.5672 0.1885, 0.5894 0.5674 0.1885, 0.5863 0.5748 0.1882, 0.5863 0.5749 0.1882, 0.5813 0.5813 0.1881, 0.5672 0.5895 0.1885, 0.5674 0.5894 0.1885, 0.5748 0.5863 0.1882, 0.5749 0.5863 0.1882, 0.5512 -0.5438 0.0521, 0.5513 -0.5438 0.0521, 0.5583 -0.5438 0.0547, 0.5583 -0.5438 0.0547, 0.5645 -0.5438 0.0589, 0.5646 -0.5438 0.059, 0.5695 -0.5438 0.0645, 0.5696 -0.5438 0.0646, 0.5731 -0.5438 0.0711, 0.5732 -0.5438 0.0713, 0.5438 -0.5512 0.0521, 0.5438 -0.5513 0.0521, 0.5438 -0.5583 0.0547, 0.5438 -0.5583 0.0547, 0.5438 -0.5645 0.0589, 0.5438 -0.5646 0.059, 0.5438 -0.5695 0.0645, 0.5438 -0.5696 0.0646, 0.5438 -0.5731 0.0711, 0.5438 -0.5732 0.0713, -0.5863 -0.5749 0.1882, -0.5863 -0.5748 0.1882, -0.5894 -0.5674 0.1885, -0.5895 -0.5672 0.1885, -0.5813 -0.5813 0.1881, -0.5749 -0.5863 0.1882, -0.5748 -0.5863 0.1882, -0.5674 -0.5894 0.1885, -0.5672 -0.5895 0.1885, -0.5695 0.5438 0.3725, -0.5646 0.5438 0.378, -0.5639 0.5492 0.378, -0.5579 0.5476 0.3823, -0.551 0.5457 0.3849, -0.5503 0.5475 0.3849, -0.5475 0.5503 0.3849, -0.5491 0.5491 0.3849, -0.5457 0.551 0.3849, -0.5512 0.5438 0.3849, -0.5722 0.5514 0.3657, -0.5692 0.5585 0.3657, -0.5646 0.5646 0.3657, -0.5585 0.5692 0.3657, -0.5514 0.5722 0.3657, -0.5438 0.5732 0.3657, -0.5438 0.5512 0.3849, -0.5645 0.5438 0.3781, -0.5583 0.5438 0.3823, -0.5583 0.5438 0.3823, -0.5513 0.5438 0.3849, -0.5564 0.5511 0.3823, -0.5541 0.5541 0.3823, -0.5511 0.5564 0.3823, -0.5476 0.5579 0.3823, -0.5438 0.5513 0.3849, -0.5438 0.5583 0.3823, -0.5438 0.5583 0.3823, -0.5438 0.5645 0.3781, -0.5618 0.5542 0.378, -0.5585 0.5585 0.378, -0.5542 0.5618 0.378, -0.5492 0.5639 0.378, -0.5731 0.5438 0.3659, -0.5696 0.5438 0.3724, -0.5687 0.5505 0.3724, -0.5661 0.5567 0.3724, -0.562 0.562 0.3724, -0.5567 0.5661 0.3724, -0.5505 0.5687 0.3724, -0.5732 0.5438 0.3657, -0.5438 0.5646 0.378, -0.5438 0.5695 0.3725, -0.5438 0.5696 0.3724, -0.5438 0.5731 0.3659, 0.5672 0.5895 0.2485, 0.5674 0.5894 0.2485, 0.5748 0.5863 0.2488, 0.5749 0.5863 0.2488, 0.5813 0.5813 0.2489, 0.5863 0.5749 0.2488, 0.5863 0.5748 0.2488, 0.5894 0.5674 0.2485, 0.5895 0.5672 0.2485, 0.5455 -0.5511 0.0521, 0.5497 -0.5485 0.0521, 0.5485 -0.5497 0.0521, 0.558 -0.547 0.0547, 0.5641 -0.5484 0.059, 0.569 -0.5495 0.0646, 0.5724 -0.5503 0.0713, 0.5703 -0.5565 0.0713, 0.5592 -0.5706 0.0767, 0.5572 -0.5715 0.0769, 0.5565 -0.5703 0.0713, 0.5518 -0.5738 0.0773, 0.5507 -0.574 0.0775, 0.5503 -0.5724 0.0713, 0.5668 -0.5621 0.0713, 0.5678 -0.5629 0.0766, 0.5656 -0.5656 0.0765, 0.5715 -0.5572 0.0769, 0.5706 -0.5592 0.0767, 0.5738 -0.5518 0.0773, 0.574 -0.5507 0.0775, 0.5495 -0.569 0.0646, 0.567 -0.555 0.0646, 0.5484 -0.5641 0.059, 0.5625 -0.5528 0.059, 0.564 -0.5599 0.0646, 0.5621 -0.5668 0.0713, 0.5629 -0.5678 0.0766, 0.547 -0.558 0.0547, 0.5599 -0.564 0.0646, 0.56 -0.5568 0.059, 0.5569 -0.5501 0.0547, 0.5511 -0.5455 0.0521, 0.5529 -0.5552 0.0547, 0.555 -0.567 0.0646, 0.5528 -0.5625 0.059, 0.5501 -0.5569 0.0547, 0.5471 -0.5506 0.0521, 0.5568 -0.56 0.059, 0.5552 -0.5529 0.0547, 0.5506 -0.5471 0.0521, -0.5672 -0.5895 0.2485, -0.5674 -0.5894 0.2485, -0.5748 -0.5863 0.2488, -0.5749 -0.5863 0.2488, -0.5813 -0.5813 0.2489, -0.5863 -0.5749 0.2488, -0.5863 -0.5748 0.2488, -0.5894 -0.5674 0.2485, -0.5895 -0.5672 0.2485, -0.5732 -0.473 0.3657, -0.5731 -0.473 0.3659, -0.5696 -0.473 0.3724, -0.5695 -0.473 0.3725, -0.5646 -0.473 0.378, -0.5645 -0.473 0.3781, -0.5583 -0.473 0.3823, -0.5583 -0.473 0.3823, -0.5513 -0.473 0.3849, -0.5512 -0.473 0.3849, 0.5438 0.5732 0.3657, 0.5438 0.5731 0.3659, 0.5438 0.5696 0.3724, 0.5438 0.5695 0.3725, 0.5438 0.5646 0.378, 0.5438 0.5645 0.3781, 0.5438 0.5583 0.3823, 0.5438 0.5583 0.3823, 0.5438 0.5513 0.3849, 0.5438 0.5512 0.3849, 0.5518 0.5738 0.3597, 0.5518 0.5738 0.3597, 0.5592 0.5706 0.3603, 0.5593 0.5706 0.3603, 0.5656 0.5656 0.3605, 0.5706 0.5593 0.3603, 0.5706 0.5592 0.3603, 0.5738 0.5518 0.3597, 0.5738 0.5518 0.3597, 0.5672 -0.5895 0.1885, 0.5674 -0.5894 0.1885, 0.5748 -0.5863 0.1882, 0.5749 -0.5863 0.1882, 0.5813 -0.5813 0.1881, 0.5895 -0.5672 0.1885, 0.5894 -0.5674 0.1885, 0.5863 -0.5748 0.1882, 0.5863 -0.5749 0.1882, -0.5623 -0.5855 0.2772, -0.5634 -0.5854 0.2773, -0.5688 -0.583 0.2778, -0.5708 -0.5822 0.2779, -0.5746 -0.5793 0.278, -0.5856 -0.562 0.2772, -0.5854 -0.5634 0.2773, -0.5832 -0.5685 0.2777, -0.5822 -0.5708 0.2779, -0.5794 -0.5744 0.278, -0.5772 -0.5772 0.2781, -0.5702 -0.574 0.2927, -0.5611 -0.4902 0.3739, -0.5753 -0.571 0.2904, -0.5647 -0.4882 0.3724, -0.5764 -0.5704 0.29, -0.5648 -0.4881 0.3723, -0.5776 -0.5694 0.2891, -0.5669 -0.4863 0.3708, -0.5802 -0.567 0.2871, -0.5699 -0.4836 0.3685, -0.5814 -0.5659 0.2862, -0.5719 -0.4805 0.3658, -0.5839 -0.5623 0.2829, -0.572 -0.4805 0.3657, -0.5843 -0.5617 0.2824, -0.5733 -0.4785 0.3639, -0.5849 -0.5608 0.2816, -0.5603 -0.5769 0.2942, -0.5496 -0.4944 0.3765, -0.563 -0.5764 0.2941, -0.5514 -0.4941 0.3765, -0.5653 -0.5757 0.2937, -0.5553 -0.4928 0.3757, -0.5586 -0.4916 0.375, -0.5476 -0.4871 0.3823, -0.5457 -0.4803 0.3849, -0.5475 -0.4795 0.3849, -0.5503 -0.4768 0.3849, -0.5491 -0.4783 0.3849, -0.551 -0.475 0.3849, -0.5438 -0.4792 0.3851, -0.5661 -0.4859 0.3724, -0.5492 -0.4931 0.378, -0.5438 -0.4938 0.378, -0.5542 -0.491 0.378, -0.5585 -0.4877 0.378, -0.5687 -0.4797 0.3724, -0.5438 -0.4904 0.3803, -0.5438 -0.4876 0.3823, -0.5438 -0.485 0.3832, -0.5438 -0.4805 0.3849, -0.5511 -0.4856 0.3823, -0.5541 -0.4833 0.3823, -0.5564 -0.4803 0.3823, -0.5579 -0.4768 0.3823, -0.5618 -0.4834 0.378, -0.5639 -0.4784 0.378, 0.5492 0.5639 0.378, 0.5476 0.5579 0.3823, 0.5457 0.551 0.3849, 0.5475 0.5503 0.3849, 0.5503 0.5475 0.3849, 0.5491 0.5491 0.3849, 0.551 0.5457 0.3849, 0.5514 0.5722 0.3657, 0.5585 0.5692 0.3657, 0.5646 0.5646 0.3657, 0.5692 0.5585 0.3657, 0.5722 0.5514 0.3657, 0.5732 0.5438 0.3657, 0.5512 0.5438 0.3849, 0.5511 0.5564 0.3823, 0.5541 0.5541 0.3823, 0.5564 0.5511 0.3823, 0.5579 0.5476 0.3823, 0.5513 0.5438 0.3849, 0.5583 0.5438 0.3823, 0.5583 0.5438 0.3823, 0.5645 0.5438 0.3781, 0.5542 0.5618 0.378, 0.5585 0.5585 0.378, 0.5618 0.5542 0.378, 0.5639 0.5492 0.378, 0.5505 0.5687 0.3724, 0.5567 0.5661 0.3724, 0.562 0.562 0.3724, 0.5661 0.5567 0.3724, 0.5687 0.5505 0.3724, 0.5646 0.5438 0.378, 0.5695 0.5438 0.3725, 0.5696 0.5438 0.3724, 0.5731 0.5438 0.3659, 0.5895 -0.5672 0.2485, 0.5894 -0.5674 0.2485, 0.5863 -0.5748 0.2488, 0.5863 -0.5749 0.2488, 0.5813 -0.5813 0.2489, 0.5749 -0.5863 0.2488, 0.5748 -0.5863 0.2488, 0.5674 -0.5894 0.2485, 0.5672 -0.5895 0.2485, -0.5761 -0.5718 0.2891, -0.5554 -0.5849 0.2829, -0.562 -0.5842 0.2829, -0.5683 -0.582 0.2829, -0.5739 -0.5784 0.2829, -0.5821 -0.568 0.2829, -0.5786 -0.5737 0.2829, -0.5719 -0.576 0.2891, -0.5669 -0.5792 0.2891, -0.5613 -0.5811 0.2891, -0.5554 -0.5818 0.2891, -0.5554 -0.5849 0.2829, -0.5554 -0.5819 0.289, 0.5438 -0.4938 0.378, 0.5438 -0.4904 0.3803, 0.5438 -0.4876 0.3823, 0.5438 -0.485 0.3832, 0.5438 -0.4805 0.3849, 0.5438 -0.4792 0.3851, 0.5732 -0.473 0.3657, 0.5731 -0.473 0.3659, 0.5696 -0.473 0.3724, 0.5695 -0.473 0.3725, 0.5646 -0.473 0.378, 0.5645 -0.473 0.3781, 0.5583 -0.473 0.3823, 0.5583 -0.473 0.3823, 0.5513 -0.473 0.3849, 0.5512 -0.473 0.3849, 0.5856 -0.562 0.2772, 0.5854 -0.5634 0.2773, 0.5832 -0.5685 0.2777, 0.5822 -0.5708 0.2779, 0.5794 -0.5744 0.278, 0.5623 -0.5855 0.2772, 0.5634 -0.5854 0.2773, 0.5688 -0.583 0.2778, 0.5708 -0.5822 0.2779, 0.5746 -0.5793 0.278, 0.5772 -0.5772 0.2781, 0.5554 -0.5849 0.2829, 0.5554 -0.5818 0.2891, 0.5554 -0.5819 0.289, 0.5554 -0.5849 0.2829, 0.5625 -0.482 0.378, 0.5569 -0.4793 0.3823, 0.5552 -0.4821 0.3823, 0.547 -0.4872 0.3823, 0.5485 -0.4789 0.3849, 0.5497 -0.4777 0.3849, 0.5471 -0.4798 0.3849, 0.5506 -0.4763 0.3849, 0.5726 -0.4795 0.3649, 0.5724 -0.4796 0.3657, 0.572 -0.4805 0.3657, 0.5733 -0.4785 0.3639, 0.5699 -0.4836 0.3685, 0.569 -0.4788 0.3724, 0.5685 -0.4848 0.3696, 0.5648 -0.4881 0.3723, 0.567 -0.4842 0.3724, 0.5647 -0.4882 0.3724, 0.5636 -0.4888 0.3728, 0.56 -0.486 0.378, 0.5568 -0.4893 0.378, 0.5586 -0.4916 0.375, 0.5528 -0.4917 0.378, 0.5536 -0.4933 0.376, 0.5484 -0.4933 0.378, 0.5487 -0.4945 0.3765, 0.5514 -0.4941 0.3765, 0.5511 -0.4747 0.3849, 0.5455 -0.4803 0.3849, 0.558 -0.4763 0.3823, 0.5529 -0.4844 0.3823, 0.5501 -0.4861 0.3823, 0.5641 -0.4777 0.378, 0.5761 -0.5718 0.2891, 0.5702 -0.574 0.2927, 0.5719 -0.576 0.2891, 0.5653 -0.5757 0.2937, 0.5669 -0.5792 0.2891, 0.563 -0.5764 0.2941, 0.5613 -0.5811 0.2891, 0.5603 -0.5769 0.2942, 0.5776 -0.5694 0.2891, 0.5764 -0.5704 0.29, 0.5753 -0.571 0.2904, 0.5786 -0.5737 0.2829, 0.5802 -0.567 0.2871, 0.5821 -0.568 0.2829, 0.5814 -0.5659 0.2862, 0.5839 -0.5623 0.2829, 0.5849 -0.5608 0.2816, 0.5843 -0.5617 0.2824, 0.5739 -0.5784 0.2829, 0.5683 -0.582 0.2829, 0.562 -0.5842 0.2829, 0.562 -0.5842 0.2829 + ] + } + coordIndex [ + 0,1,2,-1,2,1,3,-1,4,5,6,-1,6,5,7,-1,8,9,10,-1,10,9,11,-1,12,13,14,-1,14,13,15,-1,16,17,18,-1,18,17,19,-1,20,21,22,-1,22,21,23,-1,24,25,26,-1,26,25,27,-1,28,29,30,-1,30,29,31,-1,32,33,34,-1,34,33,35,-1,36,37,38,-1,38,37,39,-1,40,41,42,-1,42,41,43,-1,44,45,46,-1,46,45,47,-1,46,47,48,-1,48,47,42,-1,48,42,49,-1,44,50,45,-1,45,50,51,-1,45,51,52,-1,52,53,45,-1,45,53,54,-1,45,54,55,-1,55,56,45,-1,45,56,57,-1,45,57,58,-1,59,60,61,-1,61,60,62,-1,58,63,45,-1,45,63,60,-1,45,60,64,-1,64,60,59,-1,65,66,67,-1,67,66,68,-1,67,68,69,-1,69,70,67,-1,67,70,71,-1,67,71,43,-1,43,71,72,-1,43,72,73,-1,74,75,42,-1,42,75,76,-1,42,76,77,-1,77,78,42,-1,42,78,79,-1,42,79,49,-1,73,80,43,-1,43,80,81,-1,43,81,42,-1,42,81,82,-1,42,82,74,-1,83,84,85,-1,85,84,86,-1,85,86,87,-1,86,88,87,-1,87,88,89,-1,87,89,66,-1,40,42,90,-1,90,42,91,-1,90,91,65,-1,65,91,92,-1,65,92,66,-1,66,92,93,-1,66,93,87,-1,94,95,85,-1,85,95,96,-1,97,98,96,-1,96,98,42,-1,96,42,85,-1,85,42,47,-1,85,47,83,-1,99,100,85,-1,101,102,98,-1,103,104,100,-1,100,104,105,-1,100,105,85,-1,85,105,106,-1,107,108,101,-1,98,102,109,-1,110,111,112,-1,112,111,113,-1,114,115,116,-1,101,117,118,-1,116,119,114,-1,114,119,120,-1,114,120,113,-1,113,120,121,-1,113,121,112,-1,108,122,101,-1,101,122,123,-1,101,123,117,-1,124,125,106,-1,106,125,126,-1,106,126,127,-1,107,101,128,-1,128,101,98,-1,128,98,121,-1,121,98,97,-1,121,97,112,-1,119,94,120,-1,120,94,85,-1,120,85,129,-1,129,85,106,-1,129,106,130,-1,130,106,127,-1,118,131,101,-1,101,131,132,-1,101,132,106,-1,106,132,133,-1,106,133,124,-1,87,134,85,-1,85,134,109,-1,85,109,99,-1,99,109,102,-1,135,136,137,-1,137,136,138,-1,139,140,141,-1,141,140,142,-1,143,144,145,-1,145,144,146,-1,147,148,149,-1,149,148,150,-1,151,152,153,-1,153,152,154,-1,153,154,155,-1,156,157,158,-1,155,159,153,-1,153,159,160,-1,153,160,158,-1,158,160,161,-1,158,161,162,-1,157,163,158,-1,158,163,164,-1,158,164,165,-1,165,164,166,-1,162,167,158,-1,158,167,168,-1,158,168,156,-1,166,169,165,-1,165,169,170,-1,165,170,171,-1,171,172,165,-1,165,172,173,-1,165,173,174,-1,174,173,175,-1,175,176,174,-1,174,176,177,-1,174,177,153,-1,153,177,178,-1,153,178,151,-1,139,179,140,-1,140,179,180,-1,139,19,179,-1,179,19,17,-1,179,17,23,-1,23,21,179,-1,179,21,27,-1,179,27,181,-1,27,25,181,-1,181,25,31,-1,181,31,29,-1,29,35,181,-1,181,35,33,-1,181,33,182,-1,143,183,184,-1,184,185,186,-1,143,184,144,-1,144,184,186,-1,144,186,187,-1,138,188,189,-1,189,190,138,-1,138,190,191,-1,138,191,137,-1,137,191,192,-1,193,194,36,-1,36,38,193,-1,193,38,12,-1,193,12,14,-1,4,195,10,-1,10,195,193,-1,10,193,8,-1,8,193,14,-1,4,6,195,-1,195,6,0,-1,195,0,2,-1,2,149,195,-1,195,149,150,-1,195,150,196,-1,197,198,148,-1,148,147,197,-1,197,147,3,-1,197,3,1,-1,11,199,5,-1,5,199,197,-1,5,197,7,-1,7,197,1,-1,11,9,199,-1,199,9,15,-1,199,15,13,-1,13,39,199,-1,199,39,37,-1,199,37,200,-1,135,201,202,-1,135,202,136,-1,136,202,203,-1,136,203,204,-1,205,206,146,-1,146,206,207,-1,146,207,145,-1,145,207,208,-1,209,210,32,-1,32,34,209,-1,209,34,28,-1,209,28,30,-1,20,211,26,-1,26,211,209,-1,26,209,24,-1,24,209,30,-1,20,22,211,-1,211,22,16,-1,211,16,18,-1,18,141,211,-1,211,141,142,-1,211,142,212,-1,213,214,215,-1,215,214,216,-1,217,134,218,-1,219,220,221,-1,222,223,224,-1,225,226,227,-1,228,209,229,-1,230,231,232,-1,232,231,228,-1,233,234,226,-1,226,234,235,-1,226,235,227,-1,227,235,236,-1,227,236,237,-1,206,233,238,-1,238,233,226,-1,238,226,239,-1,239,226,225,-1,239,225,240,-1,240,225,241,-1,228,229,232,-1,232,229,242,-1,232,242,243,-1,223,241,224,-1,224,241,225,-1,224,225,244,-1,244,225,227,-1,244,227,245,-1,245,227,237,-1,242,246,243,-1,243,246,247,-1,243,247,248,-1,220,222,221,-1,221,222,224,-1,221,224,249,-1,249,224,244,-1,249,244,250,-1,250,244,245,-1,247,251,248,-1,248,251,252,-1,248,252,253,-1,250,254,249,-1,249,254,255,-1,249,255,221,-1,221,255,256,-1,221,256,219,-1,257,230,258,-1,258,230,232,-1,258,232,259,-1,259,232,243,-1,259,243,260,-1,260,243,248,-1,260,248,261,-1,261,248,253,-1,261,253,262,-1,262,253,218,-1,262,218,134,-1,134,263,256,-1,256,263,264,-1,256,264,219,-1,254,265,255,-1,255,265,266,-1,255,266,256,-1,256,266,134,-1,265,267,266,-1,266,267,134,-1,252,268,253,-1,253,268,269,-1,253,269,218,-1,218,269,270,-1,218,270,217,-1,237,257,245,-1,245,257,258,-1,245,258,250,-1,250,258,259,-1,250,259,254,-1,254,259,260,-1,254,260,265,-1,265,260,261,-1,265,261,267,-1,267,261,262,-1,267,262,134,-1,271,272,228,-1,228,272,273,-1,228,273,209,-1,209,273,274,-1,209,274,210,-1,228,231,271,-1,271,231,230,-1,271,230,275,-1,275,230,257,-1,257,237,275,-1,275,237,236,-1,275,236,276,-1,276,236,235,-1,276,235,277,-1,277,235,234,-1,277,234,278,-1,278,234,233,-1,278,233,279,-1,279,233,206,-1,279,206,205,-1,109,134,217,-1,209,211,229,-1,229,211,280,-1,229,280,242,-1,242,280,281,-1,242,281,246,-1,246,281,282,-1,246,282,247,-1,247,282,283,-1,247,283,251,-1,251,283,284,-1,251,284,252,-1,252,284,285,-1,252,285,268,-1,268,285,286,-1,268,286,269,-1,269,286,287,-1,269,287,270,-1,270,287,288,-1,270,288,217,-1,217,288,289,-1,217,289,109,-1,109,289,98,-1,87,93,290,-1,207,206,291,-1,291,206,238,-1,291,238,292,-1,292,238,239,-1,292,239,293,-1,293,239,240,-1,293,240,294,-1,294,240,241,-1,294,241,295,-1,295,241,223,-1,295,223,296,-1,296,223,222,-1,296,222,297,-1,297,222,220,-1,297,220,298,-1,298,220,219,-1,298,219,299,-1,299,219,264,-1,299,264,290,-1,290,264,263,-1,290,263,87,-1,87,263,134,-1,146,144,205,-1,205,144,187,-1,205,187,279,-1,279,187,300,-1,279,300,278,-1,278,300,301,-1,278,301,277,-1,277,301,302,-1,277,302,276,-1,276,302,303,-1,276,303,275,-1,275,303,304,-1,275,304,271,-1,271,304,305,-1,271,305,272,-1,272,305,306,-1,272,306,273,-1,273,306,307,-1,273,307,274,-1,274,307,308,-1,274,308,210,-1,210,308,182,-1,210,182,32,-1,32,182,33,-1,309,98,310,-1,311,312,98,-1,287,286,313,-1,285,284,314,-1,315,316,317,-1,318,319,320,-1,321,322,323,-1,323,322,203,-1,324,325,326,-1,317,327,328,-1,327,317,329,-1,329,317,316,-1,329,316,330,-1,211,330,280,-1,280,330,316,-1,280,316,281,-1,281,316,315,-1,281,315,282,-1,282,315,283,-1,203,331,323,-1,323,331,332,-1,323,332,333,-1,284,283,314,-1,314,283,315,-1,314,315,334,-1,334,315,317,-1,334,317,324,-1,324,317,328,-1,324,328,325,-1,332,335,333,-1,333,335,336,-1,333,336,337,-1,286,285,313,-1,313,285,314,-1,313,314,338,-1,338,314,334,-1,338,334,339,-1,339,334,324,-1,339,324,340,-1,340,324,326,-1,340,326,341,-1,336,342,337,-1,337,342,343,-1,337,343,344,-1,340,345,339,-1,339,345,346,-1,339,346,338,-1,338,346,347,-1,338,347,313,-1,313,347,348,-1,313,348,287,-1,312,311,349,-1,319,321,320,-1,320,321,323,-1,320,323,350,-1,350,323,333,-1,350,333,351,-1,351,333,337,-1,351,337,352,-1,352,337,344,-1,352,344,353,-1,353,344,310,-1,353,310,98,-1,98,289,348,-1,348,289,288,-1,348,288,287,-1,345,354,346,-1,346,354,355,-1,346,355,347,-1,347,355,356,-1,347,356,348,-1,348,356,98,-1,354,349,355,-1,355,349,311,-1,355,311,356,-1,356,311,98,-1,343,357,344,-1,344,357,358,-1,344,358,310,-1,310,358,359,-1,310,359,309,-1,341,318,340,-1,340,318,320,-1,340,320,345,-1,345,320,350,-1,345,350,354,-1,354,350,351,-1,354,351,349,-1,349,351,352,-1,349,352,312,-1,312,352,353,-1,312,353,98,-1,290,93,360,-1,361,362,363,-1,364,365,366,-1,367,368,369,-1,370,207,291,-1,371,372,373,-1,373,372,370,-1,374,375,368,-1,368,375,376,-1,368,376,369,-1,369,376,377,-1,369,377,378,-1,199,374,379,-1,379,374,368,-1,379,368,380,-1,380,368,367,-1,380,367,381,-1,381,367,382,-1,370,291,373,-1,373,291,292,-1,373,292,383,-1,365,382,366,-1,366,382,367,-1,366,367,384,-1,384,367,369,-1,384,369,385,-1,385,369,378,-1,292,293,383,-1,383,293,294,-1,383,294,386,-1,362,364,363,-1,363,364,366,-1,363,366,387,-1,387,366,384,-1,387,384,388,-1,388,384,385,-1,294,295,386,-1,386,295,296,-1,386,296,389,-1,388,390,387,-1,387,390,391,-1,387,391,363,-1,363,391,392,-1,363,392,361,-1,393,371,394,-1,394,371,373,-1,394,373,395,-1,395,373,383,-1,395,383,396,-1,396,383,386,-1,396,386,397,-1,397,386,389,-1,397,389,398,-1,398,389,360,-1,398,360,93,-1,93,399,392,-1,392,399,400,-1,392,400,361,-1,390,401,391,-1,391,401,402,-1,391,402,392,-1,392,402,93,-1,401,403,402,-1,402,403,93,-1,296,297,389,-1,389,297,298,-1,389,298,360,-1,360,298,299,-1,360,299,290,-1,378,393,385,-1,385,393,394,-1,385,394,388,-1,388,394,395,-1,388,395,390,-1,390,395,396,-1,390,396,401,-1,401,396,397,-1,401,397,403,-1,403,397,398,-1,403,398,93,-1,303,302,404,-1,404,302,301,-1,404,301,186,-1,186,301,300,-1,186,300,187,-1,404,405,303,-1,303,405,406,-1,303,406,304,-1,304,406,407,-1,407,408,304,-1,304,408,409,-1,304,409,305,-1,305,409,410,-1,305,410,306,-1,306,410,411,-1,306,411,307,-1,307,411,412,-1,307,412,308,-1,308,412,181,-1,308,181,182,-1,204,203,413,-1,413,203,322,-1,413,322,414,-1,414,322,321,-1,414,321,415,-1,415,321,319,-1,415,319,416,-1,319,318,416,-1,416,318,341,-1,416,341,417,-1,211,212,330,-1,330,212,418,-1,330,418,329,-1,329,418,419,-1,329,419,327,-1,327,419,420,-1,327,420,328,-1,328,420,421,-1,328,421,325,-1,325,421,417,-1,325,417,326,-1,326,417,341,-1,42,98,309,-1,91,42,422,-1,422,42,309,-1,422,309,423,-1,423,309,359,-1,423,359,424,-1,424,359,358,-1,424,358,425,-1,425,358,357,-1,425,357,426,-1,426,357,343,-1,426,343,427,-1,427,343,342,-1,427,342,428,-1,428,342,336,-1,428,336,429,-1,429,336,335,-1,429,335,430,-1,430,335,332,-1,430,332,431,-1,431,332,331,-1,431,331,202,-1,202,331,203,-1,92,91,432,-1,93,92,399,-1,399,92,432,-1,399,432,400,-1,400,432,433,-1,400,433,361,-1,361,433,434,-1,361,434,362,-1,362,434,435,-1,362,435,364,-1,364,435,436,-1,364,436,365,-1,365,436,437,-1,365,437,382,-1,382,437,438,-1,382,438,381,-1,381,438,439,-1,381,439,380,-1,380,439,440,-1,380,440,379,-1,379,440,441,-1,379,441,199,-1,199,441,197,-1,442,443,370,-1,370,443,444,-1,370,444,207,-1,207,444,445,-1,207,445,208,-1,370,372,442,-1,442,372,371,-1,442,371,446,-1,446,371,393,-1,393,378,446,-1,446,378,377,-1,446,377,447,-1,447,377,376,-1,447,376,448,-1,448,376,375,-1,448,375,449,-1,449,375,374,-1,449,374,450,-1,450,374,199,-1,450,199,200,-1,451,452,453,-1,454,455,456,-1,165,457,458,-1,459,457,165,-1,456,455,165,-1,460,165,455,-1,461,405,404,-1,405,461,406,-1,406,461,462,-1,406,462,407,-1,407,462,463,-1,407,463,408,-1,408,463,464,-1,408,464,409,-1,409,464,410,-1,410,464,465,-1,410,465,411,-1,411,465,412,-1,412,465,466,-1,412,466,181,-1,467,459,165,-1,468,469,454,-1,454,469,470,-1,454,470,455,-1,455,470,471,-1,455,471,460,-1,472,458,473,-1,473,458,457,-1,473,457,474,-1,474,457,459,-1,474,459,475,-1,467,476,459,-1,459,476,477,-1,459,477,475,-1,475,477,478,-1,475,478,479,-1,453,472,480,-1,480,472,473,-1,480,473,481,-1,481,473,474,-1,481,474,482,-1,482,474,475,-1,482,475,483,-1,483,475,479,-1,484,485,486,-1,486,485,451,-1,451,453,486,-1,486,453,480,-1,486,480,487,-1,487,480,481,-1,487,481,488,-1,488,481,482,-1,488,482,489,-1,489,482,483,-1,489,483,490,-1,452,468,453,-1,453,468,454,-1,453,454,472,-1,472,454,456,-1,472,456,458,-1,458,456,165,-1,484,461,491,-1,491,461,404,-1,491,404,186,-1,479,492,483,-1,483,492,493,-1,483,493,490,-1,490,493,494,-1,490,494,495,-1,484,486,461,-1,461,486,487,-1,461,487,462,-1,462,487,488,-1,462,488,463,-1,463,488,489,-1,463,489,464,-1,464,489,490,-1,464,490,465,-1,465,490,495,-1,465,495,466,-1,142,140,212,-1,212,140,180,-1,212,180,418,-1,418,180,496,-1,418,496,419,-1,419,496,497,-1,419,497,420,-1,420,497,498,-1,420,498,421,-1,421,498,499,-1,421,499,417,-1,417,499,500,-1,417,500,416,-1,416,500,501,-1,416,501,415,-1,415,501,502,-1,415,502,414,-1,414,502,503,-1,414,503,413,-1,413,503,504,-1,413,504,204,-1,204,504,188,-1,204,188,136,-1,136,188,138,-1,432,91,505,-1,506,507,91,-1,424,425,508,-1,426,427,509,-1,510,511,512,-1,513,514,515,-1,516,517,518,-1,518,517,197,-1,519,520,521,-1,512,522,523,-1,522,512,524,-1,524,512,511,-1,524,511,525,-1,202,525,431,-1,431,525,511,-1,431,511,430,-1,430,511,510,-1,430,510,429,-1,429,510,428,-1,197,441,518,-1,518,441,440,-1,518,440,526,-1,427,428,509,-1,509,428,510,-1,509,510,527,-1,527,510,512,-1,527,512,519,-1,519,512,523,-1,519,523,520,-1,440,439,526,-1,526,439,438,-1,526,438,528,-1,425,426,508,-1,508,426,509,-1,508,509,529,-1,529,509,527,-1,529,527,530,-1,530,527,519,-1,530,519,531,-1,531,519,521,-1,531,521,532,-1,438,437,528,-1,528,437,436,-1,528,436,533,-1,531,534,530,-1,530,534,535,-1,530,535,529,-1,529,535,536,-1,529,536,508,-1,508,536,537,-1,508,537,424,-1,507,506,538,-1,514,516,515,-1,515,516,518,-1,515,518,539,-1,539,518,526,-1,539,526,540,-1,540,526,528,-1,540,528,541,-1,541,528,533,-1,541,533,542,-1,542,533,505,-1,542,505,91,-1,91,422,537,-1,537,422,423,-1,537,423,424,-1,534,543,535,-1,535,543,544,-1,535,544,536,-1,536,544,545,-1,536,545,537,-1,537,545,91,-1,543,538,544,-1,544,538,506,-1,544,506,545,-1,545,506,91,-1,436,435,533,-1,533,435,434,-1,533,434,505,-1,505,434,433,-1,505,433,432,-1,532,513,531,-1,531,513,515,-1,531,515,534,-1,534,515,539,-1,534,539,543,-1,543,539,540,-1,543,540,538,-1,538,540,541,-1,538,541,507,-1,507,541,542,-1,507,542,91,-1,37,36,200,-1,200,36,194,-1,200,194,450,-1,450,194,546,-1,450,546,449,-1,449,546,547,-1,449,547,448,-1,448,547,548,-1,448,548,447,-1,447,548,549,-1,447,549,446,-1,446,549,550,-1,446,550,442,-1,442,550,551,-1,442,551,443,-1,443,551,552,-1,443,552,444,-1,444,552,553,-1,444,553,445,-1,445,553,554,-1,445,554,208,-1,208,554,183,-1,208,183,145,-1,145,183,143,-1,186,185,491,-1,491,185,555,-1,491,555,484,-1,484,555,556,-1,484,556,485,-1,485,556,557,-1,485,557,451,-1,451,557,558,-1,451,558,452,-1,452,558,559,-1,452,559,468,-1,468,559,560,-1,468,560,469,-1,469,560,561,-1,469,561,470,-1,470,561,562,-1,470,562,471,-1,471,562,563,-1,471,563,460,-1,563,564,460,-1,460,564,158,-1,460,158,165,-1,179,181,565,-1,565,181,466,-1,565,466,566,-1,566,466,495,-1,566,495,567,-1,567,495,494,-1,567,494,568,-1,568,494,493,-1,568,493,569,-1,569,493,492,-1,569,492,570,-1,570,492,479,-1,570,479,571,-1,571,479,478,-1,571,478,572,-1,572,478,477,-1,572,477,573,-1,573,477,476,-1,573,476,574,-1,476,467,574,-1,574,467,165,-1,574,165,174,-1,499,498,575,-1,575,498,497,-1,575,497,179,-1,179,497,496,-1,179,496,180,-1,575,576,499,-1,499,576,577,-1,499,577,500,-1,500,577,578,-1,578,579,500,-1,500,579,580,-1,500,580,501,-1,501,580,581,-1,501,581,502,-1,502,581,582,-1,502,582,503,-1,503,582,583,-1,503,583,504,-1,504,583,189,-1,504,189,188,-1,198,197,584,-1,584,197,517,-1,584,517,585,-1,585,517,516,-1,585,516,586,-1,586,516,514,-1,586,514,587,-1,514,513,587,-1,587,513,532,-1,587,532,588,-1,202,201,525,-1,525,201,589,-1,525,589,524,-1,524,589,590,-1,524,590,522,-1,522,590,591,-1,522,591,523,-1,523,591,592,-1,523,592,520,-1,520,592,588,-1,520,588,521,-1,521,588,532,-1,194,193,546,-1,546,193,593,-1,546,593,547,-1,547,593,594,-1,547,594,548,-1,548,594,595,-1,548,595,549,-1,595,596,549,-1,549,596,597,-1,549,597,550,-1,184,183,598,-1,598,183,554,-1,598,554,599,-1,599,554,553,-1,599,553,600,-1,600,553,552,-1,600,552,601,-1,601,552,551,-1,601,551,602,-1,602,551,550,-1,602,550,603,-1,603,550,597,-1,604,605,606,-1,606,605,607,-1,606,607,608,-1,608,607,609,-1,608,609,610,-1,610,609,611,-1,610,611,612,-1,612,611,613,-1,612,613,614,-1,614,613,615,-1,614,615,616,-1,616,615,617,-1,616,617,618,-1,618,617,619,-1,618,619,620,-1,620,619,185,-1,620,185,184,-1,216,214,621,-1,621,214,622,-1,621,622,623,-1,623,622,624,-1,623,624,625,-1,625,624,626,-1,625,626,604,-1,604,626,627,-1,604,627,605,-1,628,629,630,-1,158,631,632,-1,633,631,158,-1,630,629,158,-1,634,158,629,-1,609,607,635,-1,624,622,636,-1,636,622,214,-1,636,214,637,-1,624,636,626,-1,626,636,638,-1,626,638,627,-1,627,638,639,-1,627,639,605,-1,640,613,635,-1,635,613,611,-1,635,611,609,-1,557,617,640,-1,640,617,615,-1,640,615,613,-1,557,556,617,-1,617,556,555,-1,617,555,619,-1,619,555,185,-1,641,642,628,-1,628,642,643,-1,628,643,629,-1,629,643,644,-1,629,644,634,-1,158,564,633,-1,633,564,563,-1,633,563,562,-1,645,632,646,-1,646,632,631,-1,646,631,647,-1,647,631,633,-1,647,633,648,-1,648,633,562,-1,636,645,638,-1,638,645,646,-1,638,646,639,-1,639,646,647,-1,639,647,649,-1,649,647,648,-1,649,648,650,-1,637,641,636,-1,636,641,628,-1,636,628,645,-1,645,628,630,-1,645,630,632,-1,632,630,158,-1,562,561,648,-1,648,561,560,-1,648,560,650,-1,650,560,559,-1,650,559,558,-1,605,639,607,-1,607,639,649,-1,607,649,635,-1,635,649,650,-1,635,650,640,-1,640,650,558,-1,640,558,557,-1,568,569,651,-1,652,653,654,-1,174,655,656,-1,657,655,174,-1,654,653,174,-1,574,174,653,-1,658,576,575,-1,576,658,577,-1,577,658,659,-1,577,659,578,-1,578,659,660,-1,578,660,579,-1,579,660,661,-1,579,661,580,-1,580,661,581,-1,581,661,662,-1,581,662,582,-1,582,662,583,-1,583,662,663,-1,583,663,189,-1,664,657,174,-1,570,571,652,-1,652,571,572,-1,652,572,653,-1,653,572,573,-1,653,573,574,-1,665,656,666,-1,666,656,655,-1,666,655,667,-1,667,655,657,-1,667,657,668,-1,664,669,657,-1,657,669,670,-1,657,670,668,-1,668,670,671,-1,668,671,672,-1,651,665,673,-1,673,665,666,-1,673,666,674,-1,674,666,667,-1,674,667,675,-1,675,667,668,-1,675,668,676,-1,676,668,672,-1,566,567,677,-1,677,567,568,-1,568,651,677,-1,677,651,673,-1,677,673,678,-1,678,673,674,-1,678,674,679,-1,679,674,675,-1,679,675,680,-1,680,675,676,-1,680,676,681,-1,569,570,651,-1,651,570,652,-1,651,652,665,-1,665,652,654,-1,665,654,656,-1,656,654,174,-1,566,658,565,-1,565,658,575,-1,565,575,179,-1,672,682,676,-1,676,682,683,-1,676,683,681,-1,681,683,684,-1,681,684,685,-1,566,677,658,-1,658,677,678,-1,658,678,659,-1,659,678,679,-1,659,679,660,-1,660,679,680,-1,660,680,661,-1,661,680,681,-1,661,681,662,-1,662,681,685,-1,662,685,663,-1,135,137,201,-1,201,137,192,-1,201,192,589,-1,589,192,686,-1,589,686,590,-1,590,686,687,-1,590,687,591,-1,591,687,688,-1,591,688,592,-1,592,688,689,-1,592,689,588,-1,588,689,690,-1,588,690,587,-1,587,690,691,-1,587,691,586,-1,586,691,692,-1,586,692,585,-1,585,692,693,-1,585,693,584,-1,584,693,694,-1,584,694,198,-1,198,694,196,-1,198,196,148,-1,148,196,150,-1,608,610,695,-1,593,193,696,-1,593,697,594,-1,594,697,698,-1,594,698,595,-1,595,698,596,-1,596,698,699,-1,596,699,597,-1,599,600,700,-1,700,600,601,-1,700,601,701,-1,701,601,602,-1,701,602,699,-1,699,602,603,-1,699,603,597,-1,616,618,598,-1,598,618,620,-1,598,620,184,-1,598,599,616,-1,616,599,700,-1,616,700,614,-1,614,700,612,-1,608,695,606,-1,606,695,702,-1,606,702,604,-1,604,702,703,-1,604,703,625,-1,625,703,623,-1,623,703,704,-1,623,704,621,-1,621,704,705,-1,621,705,216,-1,593,696,697,-1,697,696,706,-1,697,706,707,-1,612,700,610,-1,610,700,701,-1,610,701,695,-1,695,701,699,-1,695,699,702,-1,702,699,698,-1,702,698,703,-1,703,698,697,-1,703,697,704,-1,704,697,707,-1,704,707,705,-1,153,158,634,-1,214,213,637,-1,637,213,708,-1,637,708,641,-1,641,708,709,-1,641,709,642,-1,642,709,710,-1,642,710,643,-1,643,710,711,-1,643,711,644,-1,644,711,712,-1,644,712,634,-1,634,712,713,-1,634,713,153,-1,190,189,714,-1,714,189,663,-1,714,663,715,-1,715,663,685,-1,715,685,716,-1,716,685,684,-1,716,684,717,-1,717,684,683,-1,717,683,718,-1,718,683,682,-1,718,682,719,-1,719,682,672,-1,719,672,720,-1,720,672,671,-1,720,671,721,-1,721,671,670,-1,721,670,722,-1,722,670,669,-1,722,669,723,-1,669,664,723,-1,723,664,174,-1,723,174,153,-1,192,191,686,-1,686,191,724,-1,686,724,687,-1,687,724,725,-1,687,725,688,-1,688,725,726,-1,688,726,689,-1,726,727,689,-1,689,727,728,-1,689,728,690,-1,195,196,729,-1,729,196,694,-1,729,694,730,-1,730,694,693,-1,730,693,731,-1,731,693,692,-1,731,692,732,-1,732,692,691,-1,732,691,733,-1,733,691,690,-1,733,690,734,-1,734,690,728,-1,193,195,735,-1,215,216,736,-1,736,216,705,-1,736,705,737,-1,737,705,707,-1,737,707,738,-1,738,707,706,-1,738,706,735,-1,735,706,696,-1,735,696,193,-1,739,740,741,-1,711,710,742,-1,153,743,744,-1,745,743,153,-1,740,746,744,-1,744,746,153,-1,747,748,749,-1,750,190,714,-1,749,748,751,-1,751,748,752,-1,751,752,753,-1,754,755,756,-1,756,755,739,-1,756,739,757,-1,739,758,757,-1,757,758,759,-1,757,759,760,-1,760,759,761,-1,760,761,762,-1,763,764,761,-1,761,764,765,-1,761,765,762,-1,213,764,708,-1,708,764,763,-1,708,763,709,-1,766,722,723,-1,712,767,713,-1,713,767,153,-1,745,153,767,-1,722,766,721,-1,721,766,768,-1,721,768,720,-1,740,744,741,-1,741,744,743,-1,741,743,769,-1,769,743,745,-1,769,745,770,-1,770,745,767,-1,770,767,742,-1,742,767,712,-1,742,712,711,-1,720,768,719,-1,719,768,771,-1,719,771,718,-1,739,741,758,-1,758,741,769,-1,758,769,759,-1,759,769,770,-1,759,770,761,-1,761,770,742,-1,761,742,763,-1,763,742,710,-1,763,710,709,-1,718,771,717,-1,717,771,752,-1,717,752,716,-1,754,753,755,-1,755,753,752,-1,755,752,739,-1,739,752,771,-1,739,771,740,-1,740,771,768,-1,740,768,746,-1,746,768,766,-1,746,766,153,-1,723,153,766,-1,716,752,715,-1,715,752,748,-1,715,748,714,-1,714,748,747,-1,714,747,750,-1,772,773,774,-1,774,773,775,-1,774,775,776,-1,776,775,777,-1,776,777,778,-1,778,777,779,-1,780,781,772,-1,772,781,782,-1,772,782,773,-1,780,783,784,-1,784,783,785,-1,784,785,786,-1,786,785,787,-1,191,788,724,-1,724,788,789,-1,724,789,725,-1,725,789,787,-1,725,787,726,-1,726,787,785,-1,726,785,727,-1,727,785,783,-1,727,783,728,-1,728,783,734,-1,734,783,790,-1,734,790,733,-1,733,790,732,-1,732,790,791,-1,732,791,731,-1,735,195,729,-1,731,791,730,-1,730,791,792,-1,730,792,729,-1,729,792,738,-1,729,738,735,-1,779,215,778,-1,778,215,736,-1,778,736,737,-1,780,772,783,-1,783,772,774,-1,783,774,790,-1,790,774,776,-1,790,776,791,-1,791,776,778,-1,791,778,792,-1,792,778,737,-1,792,737,738,-1,190,750,191,-1,191,750,788,-1,788,750,789,-1,789,750,747,-1,789,747,787,-1,787,747,749,-1,787,749,786,-1,786,749,751,-1,786,751,784,-1,784,751,753,-1,784,753,780,-1,780,753,754,-1,780,754,781,-1,781,754,782,-1,782,754,756,-1,782,756,773,-1,213,215,764,-1,764,215,779,-1,764,779,765,-1,765,779,777,-1,765,777,762,-1,762,777,775,-1,762,775,760,-1,760,775,773,-1,760,773,757,-1,757,773,756,-1, + ] + } +} +Shape{ + appearance Appearance { + material Material { + diffuseColor 0.4392156862745098 0.4392156862745098 0.4392156862745098 + specularColor 0.13333333333333333 0.13333333333333333 0.13333333333333333 + ambientIntensity 0.2 + transparency 0 + shininess 0.5 + } + } + geometry IndexedFaceSet { + ccw TRUE + solid FALSE + coord DEF co Coordinate { + point [ + -0.3374 -0.3378 0.3854, -0.3374 -0.3378 0.3858, -0.3397 -0.3199 0.3854, -0.3397 -0.3199 0.3858, -0.3466 -0.3033 0.3854, -0.3466 -0.3033 0.3858, -0.3575 -0.289 0.3854, -0.3575 -0.289 0.3858, -0.3718 -0.2781 0.3854, -0.3718 -0.2781 0.3858, -0.3884 -0.2712 0.3854, -0.3884 -0.2712 0.3858, -0.4062 -0.2689 0.3854, -0.4062 -0.2689 0.3858, -0.4241 -0.2712 0.3854, -0.4241 -0.2712 0.3858, -0.4407 -0.2781 0.3854, -0.4407 -0.2781 0.3858, -0.455 -0.289 0.3854, -0.455 -0.289 0.3858, -0.4659 -0.3033 0.3854, -0.4659 -0.3033 0.3858, -0.4728 -0.3199 0.3854, -0.4728 -0.3199 0.3858, -0.4751 -0.3378 0.3854, -0.4751 -0.3378 0.3858, -0.4728 -0.3556 0.3854, -0.4728 -0.3556 0.3858, -0.4659 -0.3722 0.3854, -0.4659 -0.3722 0.3858, -0.455 -0.3865 0.3854, -0.455 -0.3865 0.3858, -0.4407 -0.3974 0.3854, -0.4407 -0.3974 0.3858, -0.4241 -0.4043 0.3854, -0.4241 -0.4043 0.3858, -0.4062 -0.4067 0.3854, -0.4062 -0.4067 0.3858, -0.3884 -0.4043 0.3854, -0.3884 -0.4043 0.3858, -0.3718 -0.3974 0.3854, -0.3718 -0.3974 0.3858, -0.3575 -0.3865 0.3854, -0.3575 -0.3865 0.3858, -0.3466 -0.3722 0.3854, -0.3466 -0.3722 0.3858, -0.3397 -0.3556 0.3854, -0.3397 -0.3556 0.3858, -0.4062 -0.3378 0.3854, -0.4062 -0.3378 0.3854 + ] + } + coordIndex [ + 0,1,2,-1,2,1,3,-1,2,3,4,-1,4,3,5,-1,4,5,6,-1,6,5,7,-1,6,7,8,-1,8,7,9,-1,8,9,10,-1,10,9,11,-1,10,11,12,-1,12,11,13,-1,12,13,14,-1,14,13,15,-1,14,15,16,-1,16,15,17,-1,16,17,18,-1,18,17,19,-1,18,19,20,-1,20,19,21,-1,20,21,22,-1,22,21,23,-1,22,23,24,-1,24,23,25,-1,24,25,26,-1,26,25,27,-1,26,27,28,-1,28,27,29,-1,28,29,30,-1,30,29,31,-1,30,31,32,-1,32,31,33,-1,32,33,34,-1,34,33,35,-1,34,35,36,-1,36,35,37,-1,36,37,38,-1,38,37,39,-1,38,39,40,-1,40,39,41,-1,40,41,42,-1,42,41,43,-1,42,43,44,-1,44,43,45,-1,44,45,46,-1,46,45,47,-1,46,47,0,-1,0,47,1,-1,44,46,48,-1,48,46,0,-1,48,0,2,-1,38,40,48,-1,48,40,42,-1,48,42,44,-1,32,34,48,-1,48,34,36,-1,48,36,38,-1,26,28,48,-1,48,28,30,-1,48,30,32,-1,20,22,48,-1,48,22,24,-1,48,24,26,-1,14,16,48,-1,48,16,18,-1,48,18,20,-1,8,10,48,-1,48,10,12,-1,48,12,14,-1,2,4,48,-1,48,4,6,-1,48,6,8,-1, + ] + } +} +Shape{ + appearance Appearance { + material Material { + diffuseColor 1.0 1.0 1.0 + specularColor 0.8784313725490196 0.8784313725490196 0.8784313725490196 + ambientIntensity 0.2 + transparency 0 + shininess 0.5 + } + } + geometry IndexedFaceSet { + ccw TRUE + solid FALSE + coord DEF co Coordinate { + point [ + -0.0343 -0.1789 0.0551, -0.0472 -0.1789 0.0551, -0.0343 -0.1789 0.0512, -0.0472 -0.1789 0.0512, -0.0472 -0.2394 0.0551, -0.0472 -0.2394 0.0512, 0.0709 -0.2394 0.0551, 0.0709 -0.2394 0.0512, 0.0709 -0.2236 0.0551, 0.0709 -0.2236 0.0512, -0.0343 -0.2236 0.0551, -0.0343 -0.2236 0.0512, -0.0281 -0.081 0.0551, -0.0411 -0.081 0.0551, -0.0281 -0.081 0.0512, -0.0411 -0.081 0.0512, -0.0463 -0.0936 0.0551, -0.0487 -0.1135 0.0551, -0.0487 -0.1135 0.0512, -0.0486 -0.1102 0.0512, -0.0486 -0.1102 0.0551, -0.0479 -0.1055 0.0512, -0.0463 -0.0936 0.0512, 0.0096 -0.1688 0.0551, 0.0096 -0.1688 0.0512, -0.0038 -0.1677 0.0512, -0.0038 -0.1677 0.0551, -0.0163 -0.1642 0.0512, -0.0163 -0.1642 0.0551, -0.0276 -0.158 0.0512, -0.0276 -0.158 0.0551, -0.037 -0.1492 0.0512, -0.037 -0.1492 0.0551, -0.0432 -0.1395 0.0512, -0.0432 -0.1395 0.0551, -0.0471 -0.128 0.0512, -0.0471 -0.128 0.0551, -0.0479 -0.1204 0.0512, 0.0723 -0.1088 0.0551, 0.0723 -0.1088 0.0512, 0.0712 -0.1214 0.0512, 0.0712 -0.1214 0.0551, 0.0673 -0.1344 0.0512, 0.0673 -0.1344 0.0551, 0.0608 -0.1454 0.0512, 0.0608 -0.1454 0.0551, 0.0509 -0.1557 0.0512, 0.0509 -0.1557 0.0551, 0.0398 -0.1626 0.0512, 0.0398 -0.1626 0.0551, 0.0264 -0.1671 0.0512, 0.0264 -0.1671 0.0551, 0.0677 -0.081 0.0551, 0.0677 -0.081 0.0512, 0.0714 -0.0946 0.0512, 0.0714 -0.0946 0.0551, 0.0518 -0.081 0.0551, 0.0518 -0.081 0.0512, 0.0594 -0.1086 0.0551, 0.0594 -0.1086 0.0512, 0.0575 -0.0943 0.0512, 0.0575 -0.0943 0.0551, 0.0106 -0.153 0.0551, 0.0106 -0.153 0.0512, 0.0194 -0.1525 0.0512, 0.0194 -0.1525 0.0551, 0.0322 -0.1493 0.0512, 0.0322 -0.1493 0.0551, 0.0414 -0.1445 0.0512, 0.0414 -0.1445 0.0551, 0.0493 -0.1374 0.0512, 0.0493 -0.1374 0.0551, 0.0549 -0.129 0.0512, 0.0549 -0.129 0.0551, 0.0581 -0.1203 0.0512, 0.0581 -0.1203 0.0551, -0.0357 -0.1113 0.0551, -0.0357 -0.1113 0.0512, -0.0345 -0.1222 0.0512, -0.0345 -0.1222 0.0551, -0.0313 -0.1309 0.0512, -0.0313 -0.1309 0.0551, -0.0264 -0.1382 0.0512, -0.0264 -0.1382 0.0551, -0.0206 -0.1437 0.0512, -0.0206 -0.1437 0.0551, -0.0124 -0.1486 0.0512, -0.0124 -0.1486 0.0551, -0.0022 -0.1518 0.0512, -0.0022 -0.1518 0.0551, -0.0293 -0.0831 0.0512, -0.0293 -0.0831 0.0551, -0.034 -0.0956 0.0512, -0.034 -0.0956 0.0551, -0.0343 0.0055 0.0551, -0.0472 0.0055 0.0551, -0.0343 0.0055 0.0512, -0.0472 0.0055 0.0512, -0.0472 -0.0579 0.0551, -0.0472 -0.0579 0.0512, 0.0709 -0.0579 0.0551, 0.0709 -0.0579 0.0512, 0.0709 0.0026 0.0551, 0.0709 0.0026 0.0512, 0.0579 0.0026 0.0551, 0.0579 0.0026 0.0512, 0.0579 -0.0421 0.0551, 0.0579 -0.0421 0.0512, 0.019 -0.0421 0.0551, 0.019 -0.0421 0.0512, 0.019 -0.0003 0.0551, 0.019 -0.0003 0.0512, 0.0061 -0.0003 0.0551, 0.0061 -0.0003 0.0512, 0.0061 -0.0421 0.0551, 0.0061 -0.0421 0.0512, -0.0343 -0.0421 0.0551, -0.0343 -0.0421 0.0512, -0.0472 0.0606 0.0551, -0.0472 0.0285 0.0551, -0.0472 0.0606 0.0512, -0.0472 0.0285 0.0512, 0.0709 0.0285 0.0551, 0.0709 0.0285 0.0512, 0.0709 0.0618 0.0551, 0.0709 0.0618 0.0512, 0.0133 0.1236 0.0551, 0.0133 0.1236 0.0512, 0.0191 0.1233 0.0512, 0.0191 0.1233 0.0551, 0.0314 0.1211 0.0512, 0.0314 0.1211 0.0551, 0.0429 0.1162 0.0512, 0.0429 0.1162 0.0551, 0.0523 0.109 0.0512, 0.0523 0.109 0.0551, 0.0566 0.1044 0.0512, 0.0566 0.1044 0.0551, 0.0642 0.0927 0.0512, 0.0642 0.0927 0.0551, 0.0691 0.0789 0.0512, 0.0691 0.0789 0.0551, -0.0459 0.0752 0.0512, -0.0459 0.0752 0.0551, -0.0419 0.0888 0.0512, -0.0419 0.0888 0.0551, -0.0347 0.1012 0.0512, -0.0347 0.1012 0.0551, -0.0254 0.1109 0.0512, -0.0254 0.1109 0.0551, -0.0148 0.1177 0.0512, -0.0148 0.1177 0.0551, -0.0025 0.1219 0.0512, -0.0025 0.1219 0.0551, 0.013 0.1077 0.0551, 0.0247 0.1067 0.0551, 0.0341 0.104 0.0551, 0.0413 0.0999 0.0551, 0.0474 0.0942 0.0551, 0.053 0.0856 0.0551, 0.0564 0.0758 0.0551, 0.0579 0.0618 0.0551, 0.0579 0.0443 0.0551, -0.0343 0.0443 0.0551, -0.0343 0.0611 0.0551, -0.0331 0.0736 0.0551, -0.03 0.0835 0.0551, -0.0253 0.0915 0.0551, -0.0188 0.0981 0.0551, -0.0106 0.1031 0.0551, -0.0013 0.1062 0.0551, 0.0579 0.0618 0.0512, 0.0579 0.0443 0.0512, 0.0564 0.0758 0.0512, 0.053 0.0856 0.0512, 0.0474 0.0942 0.0512, 0.0413 0.0999 0.0512, 0.0341 0.104 0.0512, 0.0247 0.1067 0.0512, 0.013 0.1077 0.0512, -0.0013 0.1062 0.0512, -0.0106 0.1031 0.0512, -0.0188 0.0981 0.0512, -0.0253 0.0915 0.0512, -0.03 0.0835 0.0512, -0.0331 0.0736 0.0512, -0.0343 0.0611 0.0512, -0.0343 0.0443 0.0512, 0.0709 0.1927 0.0551, -0.0472 0.2388 0.0551, 0.0709 0.1927 0.0512, -0.0472 0.2388 0.0512, -0.0472 0.2215 0.0551, -0.0472 0.2215 0.0512, -0.0141 0.2096 0.0551, -0.0141 0.2096 0.0512, -0.0141 0.1608 0.0551, -0.0141 0.1608 0.0512, -0.0472 0.1495 0.0551, -0.0472 0.1495 0.0512, -0.0472 0.1322 0.0551, -0.0472 0.1322 0.0512, 0.0709 0.1769 0.0551, 0.0709 0.1769 0.0512, -0.0011 0.1648 0.0551, 0.0482 0.1824 0.0551, 0.0523 0.1835 0.0551, 0.0565 0.1843 0.0551, 0.0565 0.1846 0.0551, 0.0523 0.1854 0.0551, -0.0011 0.2042 0.0551, 0.0482 0.1865 0.0551, -0.0011 0.1648 0.0512, -0.0011 0.2042 0.0512, 0.0482 0.1824 0.0512, 0.0523 0.1835 0.0512, 0.0565 0.1843 0.0512, 0.0565 0.1846 0.0512, 0.0523 0.1854 0.0512, 0.0482 0.1865 0.0512, 0.0482 0.1865 0.0512 + ] + } + coordIndex [ + 0,1,2,-1,2,1,3,-1,1,4,3,-1,3,4,5,-1,4,6,5,-1,5,6,7,-1,6,8,7,-1,7,8,9,-1,8,10,9,-1,9,10,11,-1,10,0,11,-1,11,0,2,-1,8,6,10,-1,10,6,4,-1,10,4,0,-1,0,4,1,-1,12,13,14,-1,14,13,15,-1,15,13,16,-1,17,18,19,-1,17,19,20,-1,20,19,21,-1,20,21,16,-1,16,21,22,-1,16,22,15,-1,23,24,25,-1,23,25,26,-1,26,25,27,-1,26,27,28,-1,28,27,29,-1,28,29,30,-1,30,29,31,-1,30,31,32,-1,32,31,33,-1,32,33,34,-1,34,33,35,-1,34,35,36,-1,36,35,17,-1,17,35,37,-1,17,37,18,-1,38,39,40,-1,38,40,41,-1,41,40,42,-1,41,42,43,-1,43,42,44,-1,43,44,45,-1,45,44,46,-1,45,46,47,-1,47,46,48,-1,47,48,49,-1,49,48,50,-1,49,50,51,-1,51,50,24,-1,51,24,23,-1,52,53,54,-1,52,54,55,-1,55,54,39,-1,55,39,38,-1,52,56,53,-1,53,56,57,-1,58,59,60,-1,58,60,61,-1,61,60,57,-1,61,57,56,-1,62,63,64,-1,62,64,65,-1,65,64,66,-1,65,66,67,-1,67,66,68,-1,67,68,69,-1,69,68,70,-1,69,70,71,-1,71,70,72,-1,71,72,73,-1,73,72,74,-1,73,74,75,-1,75,74,59,-1,75,59,58,-1,76,77,78,-1,76,78,79,-1,79,78,80,-1,79,80,81,-1,81,80,82,-1,81,82,83,-1,83,82,84,-1,83,84,85,-1,85,84,86,-1,85,86,87,-1,87,86,88,-1,87,88,89,-1,89,88,63,-1,89,63,62,-1,12,14,90,-1,12,90,91,-1,91,90,92,-1,91,92,93,-1,93,92,77,-1,93,77,76,-1,79,17,76,-1,76,17,20,-1,76,20,93,-1,93,20,16,-1,93,16,91,-1,91,16,13,-1,91,13,12,-1,56,52,61,-1,61,52,55,-1,61,55,58,-1,58,55,38,-1,58,38,75,-1,75,38,41,-1,75,41,73,-1,73,41,43,-1,73,43,71,-1,71,43,45,-1,71,45,69,-1,69,45,47,-1,69,47,67,-1,67,47,49,-1,67,49,65,-1,65,49,51,-1,65,51,62,-1,62,51,23,-1,62,23,89,-1,89,23,26,-1,89,26,87,-1,87,26,28,-1,87,28,85,-1,85,28,30,-1,85,30,83,-1,83,30,32,-1,83,32,81,-1,81,32,34,-1,81,34,79,-1,79,34,36,-1,79,36,17,-1,94,95,96,-1,96,95,97,-1,95,98,97,-1,97,98,99,-1,98,100,99,-1,99,100,101,-1,100,102,101,-1,101,102,103,-1,102,104,103,-1,103,104,105,-1,104,106,105,-1,105,106,107,-1,106,108,107,-1,107,108,109,-1,108,110,109,-1,109,110,111,-1,110,112,111,-1,111,112,113,-1,112,114,113,-1,113,114,115,-1,114,116,115,-1,115,116,117,-1,116,94,117,-1,117,94,96,-1,98,95,94,-1,94,116,98,-1,98,116,114,-1,98,114,100,-1,104,102,106,-1,106,102,100,-1,106,100,108,-1,108,100,114,-1,108,114,110,-1,110,114,112,-1,118,119,120,-1,120,119,121,-1,119,122,121,-1,121,122,123,-1,122,124,123,-1,123,124,125,-1,126,127,128,-1,126,128,129,-1,129,128,130,-1,129,130,131,-1,131,130,132,-1,131,132,133,-1,133,132,134,-1,133,134,135,-1,135,134,136,-1,135,136,137,-1,137,136,138,-1,137,138,139,-1,139,138,140,-1,139,140,141,-1,141,140,125,-1,141,125,124,-1,118,120,142,-1,118,142,143,-1,143,142,144,-1,143,144,145,-1,145,144,146,-1,145,146,147,-1,147,146,148,-1,147,148,149,-1,149,148,150,-1,149,150,151,-1,151,150,152,-1,151,152,153,-1,153,152,127,-1,153,127,126,-1,153,126,154,-1,154,126,129,-1,154,129,155,-1,155,129,131,-1,155,131,156,-1,156,131,133,-1,156,133,157,-1,157,133,135,-1,157,135,158,-1,158,135,137,-1,158,137,159,-1,159,137,139,-1,159,139,160,-1,160,139,141,-1,160,141,161,-1,161,141,124,-1,161,124,162,-1,162,124,122,-1,162,122,163,-1,163,122,119,-1,163,119,164,-1,164,119,118,-1,164,118,165,-1,165,118,143,-1,165,143,166,-1,166,143,145,-1,166,145,167,-1,167,145,147,-1,167,147,168,-1,168,147,149,-1,168,149,169,-1,169,149,151,-1,169,151,170,-1,170,151,153,-1,170,153,154,-1,161,162,171,-1,171,162,172,-1,161,171,173,-1,161,173,160,-1,160,173,174,-1,160,174,159,-1,159,174,175,-1,159,175,158,-1,158,175,176,-1,158,176,157,-1,157,176,177,-1,157,177,156,-1,156,177,178,-1,156,178,155,-1,155,178,179,-1,155,179,154,-1,154,179,180,-1,154,180,170,-1,170,180,181,-1,170,181,169,-1,169,181,182,-1,169,182,168,-1,168,182,183,-1,168,183,167,-1,167,183,184,-1,167,184,166,-1,166,184,185,-1,166,185,165,-1,165,185,186,-1,165,186,164,-1,163,164,187,-1,187,164,186,-1,162,163,172,-1,172,163,187,-1,188,189,190,-1,190,189,191,-1,189,192,191,-1,191,192,193,-1,192,194,193,-1,193,194,195,-1,194,196,195,-1,195,196,197,-1,196,198,197,-1,197,198,199,-1,198,200,199,-1,199,200,201,-1,200,202,201,-1,201,202,203,-1,202,188,203,-1,203,188,190,-1,204,205,202,-1,200,198,196,-1,205,206,202,-1,202,206,207,-1,202,207,188,-1,188,207,208,-1,188,208,209,-1,202,200,204,-1,204,200,196,-1,204,196,210,-1,210,196,194,-1,209,211,188,-1,188,211,210,-1,188,210,189,-1,189,210,194,-1,189,194,192,-1,204,210,212,-1,212,210,213,-1,205,204,214,-1,214,204,212,-1,205,214,215,-1,205,215,206,-1,206,215,216,-1,206,216,207,-1,208,207,217,-1,217,207,216,-1,208,217,218,-1,208,218,209,-1,209,218,219,-1,209,219,211,-1,210,211,213,-1,213,211,219,-1, + ] + } +} +Shape{ + appearance Appearance { + material Material { + diffuseColor 0.2235294117647059 0.2235294117647059 0.2235294117647059 + specularColor 0.06666666666666667 0.06666666666666667 0.06666666666666667 + ambientIntensity 0.2 + transparency 0 + shininess 0.5 + } + } + geometry IndexedFaceSet { + ccw TRUE + solid FALSE + coord DEF co Coordinate { + point [ + 0.0579 0.0618 0.0512, 0.0579 0.0443 0.0512, -0.0343 0.0443 0.0512, -0.0013 0.1062 0.0512, 0.013 0.1077 0.0512, -0.0106 0.1031 0.0512, 0.0247 0.1067 0.0512, 0.0341 0.104 0.0512, 0.0564 0.0758 0.0512, -0.0343 0.0611 0.0512, -0.0331 0.0736 0.0512, -0.03 0.0835 0.0512, 0.0413 0.0999 0.0512, 0.0474 0.0942 0.0512, 0.053 0.0856 0.0512, -0.0253 0.0915 0.0512, -0.0188 0.0981 0.0512, -0.0011 0.1648 0.0512, -0.0011 0.2042 0.0512, 0.0482 0.1824 0.0512, 0.0482 0.1865 0.0512, 0.0523 0.1835 0.0512, 0.0523 0.1854 0.0512, 0.0565 0.1843 0.0512, 0.0565 0.1846 0.0512, 0.0565 0.1846 0.0512 + ] + } + coordIndex [ + 0,1,2,-1,3,4,0,-1,3,0,5,-1,4,6,0,-1,0,6,7,-1,0,7,8,-1,2,9,0,-1,0,9,10,-1,0,10,11,-1,12,13,7,-1,7,13,14,-1,7,14,8,-1,11,15,0,-1,0,15,16,-1,0,16,5,-1,17,18,19,-1,19,18,20,-1,19,20,21,-1,21,20,22,-1,21,22,23,-1,23,22,24,-1, + ] + } +} +Shape{ + appearance Appearance { + material Material { + diffuseColor 0.6470588235294118 0.6196078431372549 0.5882352941176471 + specularColor 0.45098039215686275 0.43529411764705883 0.4117647058823529 + ambientIntensity 0.2 + transparency 0 + shininess 0.5 + } + } + geometry IndexedFaceSet { + ccw TRUE + solid FALSE + coord DEF co Coordinate { + point [ + -0.3494 -0.9646 0.0591, -0.3494 -0.7737 0.0591, -0.438 -0.9646 0.0591, -0.438 -0.7737 0.0591, -0.3494 -0.9646 -0.0, -0.438 -0.9646 -0.0, -0.3494 -0.7737 -0.0, -0.438 -0.7737 -0.0, -0.438 -0.7545 0.0021, -0.3494 -0.7545 0.0021, -0.438 -0.7362 0.0083, -0.3494 -0.7362 0.0083, -0.438 -0.7197 0.0183, -0.3494 -0.7197 0.0183, -0.438 -0.7058 0.0316, -0.3494 -0.7058 0.0316, -0.438 -0.6951 0.0477, -0.3494 -0.6951 0.0477, -0.438 -0.6881 0.0657, -0.3494 -0.6881 0.0657, -0.3494 -0.6609 0.1671, -0.438 -0.6609 0.1671, -0.3494 -0.6586 0.1731, -0.438 -0.6586 0.1731, -0.3494 -0.655 0.1784, -0.438 -0.655 0.1784, -0.3494 -0.6504 0.1829, -0.438 -0.6504 0.1829, -0.3494 -0.6449 0.1862, -0.438 -0.6449 0.1862, -0.3494 -0.6388 0.1883, -0.438 -0.6388 0.1883, -0.3494 -0.6324 0.189, -0.438 -0.6324 0.189, -0.3494 -0.5906 0.189, -0.438 -0.5906 0.189, -0.3494 -0.6324 0.248, -0.3494 -0.5906 0.248, -0.438 -0.6324 0.248, -0.438 -0.5906 0.248, -0.438 -0.6516 0.2459, -0.3494 -0.6516 0.2459, -0.438 -0.6698 0.2397, -0.3494 -0.6698 0.2397, -0.438 -0.6863 0.2297, -0.3494 -0.6863 0.2297, -0.438 -0.7003 0.2164, -0.3494 -0.7003 0.2164, -0.438 -0.711 0.2004, -0.3494 -0.711 0.2004, -0.438 -0.718 0.1824, -0.3494 -0.718 0.1824, -0.3494 -0.7451 0.0809, -0.438 -0.7451 0.0809, -0.3494 -0.7475 0.0749, -0.438 -0.7475 0.0749, -0.3494 -0.751 0.0696, -0.438 -0.751 0.0696, -0.3494 -0.7557 0.0652, -0.438 -0.7557 0.0652, -0.3494 -0.7612 0.0618, -0.438 -0.7612 0.0618, -0.3494 -0.7673 0.0598, -0.438 -0.7673 0.0598, -0.3494 0.7737 0.0591, -0.3494 0.9646 0.0591, -0.438 0.7737 0.0591, -0.438 0.9646 0.0591, -0.3494 0.7673 0.0598, -0.438 0.7673 0.0598, -0.3494 0.7612 0.0618, -0.438 0.7612 0.0618, -0.3494 0.7557 0.0652, -0.438 0.7557 0.0652, -0.3494 0.751 0.0696, -0.438 0.751 0.0696, -0.3494 0.7475 0.0749, -0.438 0.7475 0.0749, -0.3494 0.7451 0.0809, -0.438 0.7451 0.0809, -0.3494 0.718 0.1824, -0.438 0.718 0.1824, -0.438 0.711 0.2004, -0.3494 0.711 0.2004, -0.438 0.7003 0.2164, -0.3494 0.7003 0.2164, -0.438 0.6863 0.2297, -0.3494 0.6863 0.2297, -0.438 0.6698 0.2397, -0.3494 0.6698 0.2397, -0.438 0.6516 0.2459, -0.3494 0.6516 0.2459, -0.438 0.6324 0.248, -0.3494 0.6324 0.248, -0.3494 0.5906 0.248, -0.438 0.5906 0.248, -0.3494 0.6324 0.189, -0.3494 0.5906 0.189, -0.438 0.6324 0.189, -0.438 0.5906 0.189, -0.3494 0.6388 0.1883, -0.438 0.6388 0.1883, -0.3494 0.6449 0.1862, -0.438 0.6449 0.1862, -0.3494 0.6504 0.1829, -0.438 0.6504 0.1829, -0.3494 0.655 0.1784, -0.438 0.655 0.1784, -0.3494 0.6586 0.1731, -0.438 0.6586 0.1731, -0.3494 0.6609 0.1671, -0.438 0.6609 0.1671, -0.3494 0.6881 0.0657, -0.438 0.6881 0.0657, -0.438 0.6951 0.0477, -0.3494 0.6951 0.0477, -0.438 0.7058 0.0316, -0.3494 0.7058 0.0316, -0.438 0.7197 0.0183, -0.3494 0.7197 0.0183, -0.438 0.7362 0.0083, -0.3494 0.7362 0.0083, -0.438 0.7545 0.0021, -0.3494 0.7545 0.0021, -0.438 0.7737 -0.0, -0.3494 0.7737 -0.0, -0.3494 0.9646 -0.0, -0.438 0.9646 -0.0, -0.1526 0.7737 0.0591, -0.1526 0.9646 0.0591, -0.2411 0.7737 0.0591, -0.2411 0.9646 0.0591, -0.1526 0.7673 0.0598, -0.2411 0.7673 0.0598, -0.1526 0.7612 0.0618, -0.2411 0.7612 0.0618, -0.1526 0.7557 0.0652, -0.2411 0.7557 0.0652, -0.1526 0.751 0.0696, -0.2411 0.751 0.0696, -0.1526 0.7475 0.0749, -0.2411 0.7475 0.0749, -0.1526 0.7451 0.0809, -0.2411 0.7451 0.0809, -0.1526 0.718 0.1824, -0.2411 0.718 0.1824, -0.2411 0.711 0.2004, -0.1526 0.711 0.2004, -0.2411 0.7003 0.2164, -0.1526 0.7003 0.2164, -0.2411 0.6863 0.2297, -0.1526 0.6863 0.2297, -0.2411 0.6698 0.2397, -0.1526 0.6698 0.2397, -0.2411 0.6516 0.2459, -0.1526 0.6516 0.2459, -0.2411 0.6324 0.248, -0.1526 0.6324 0.248, -0.1526 0.5906 0.248, -0.2411 0.5906 0.248, -0.1526 0.6324 0.189, -0.1526 0.5906 0.189, -0.2411 0.6324 0.189, -0.2411 0.5906 0.189, -0.1526 0.6388 0.1883, -0.2411 0.6388 0.1883, -0.1526 0.6449 0.1862, -0.2411 0.6449 0.1862, -0.1526 0.6504 0.1829, -0.2411 0.6504 0.1829, -0.1526 0.655 0.1784, -0.2411 0.655 0.1784, -0.1526 0.6586 0.1731, -0.2411 0.6586 0.1731, -0.1526 0.6609 0.1671, -0.2411 0.6609 0.1671, -0.1526 0.6881 0.0657, -0.2411 0.6881 0.0657, -0.2411 0.6951 0.0477, -0.1526 0.6951 0.0477, -0.2411 0.7058 0.0316, -0.1526 0.7058 0.0316, -0.2411 0.7197 0.0183, -0.1526 0.7197 0.0183, -0.2411 0.7362 0.0083, -0.1526 0.7362 0.0083, -0.2411 0.7545 0.0021, -0.1526 0.7545 0.0021, -0.2411 0.7737 -0.0, -0.1526 0.7737 -0.0, -0.1526 0.9646 -0.0, -0.2411 0.9646 -0.0, 0.0443 0.7737 0.0591, 0.0443 0.9646 0.0591, -0.0443 0.7737 0.0591, -0.0443 0.9646 0.0591, 0.0443 0.7673 0.0598, -0.0443 0.7673 0.0598, 0.0443 0.7612 0.0618, -0.0443 0.7612 0.0618, 0.0443 0.7557 0.0652, -0.0443 0.7557 0.0652, 0.0443 0.751 0.0696, -0.0443 0.751 0.0696, 0.0443 0.7475 0.0749, -0.0443 0.7475 0.0749, 0.0443 0.7451 0.0809, -0.0443 0.7451 0.0809, 0.0443 0.718 0.1824, -0.0443 0.718 0.1824, -0.0443 0.711 0.2004, 0.0443 0.711 0.2004, -0.0443 0.7003 0.2164, 0.0443 0.7003 0.2164, -0.0443 0.6863 0.2297, 0.0443 0.6863 0.2297, -0.0443 0.6698 0.2397, 0.0443 0.6698 0.2397, -0.0443 0.6516 0.2459, 0.0443 0.6516 0.2459, -0.0443 0.6324 0.248, 0.0443 0.6324 0.248, 0.0443 0.5906 0.248, -0.0443 0.5906 0.248, 0.0443 0.6324 0.189, 0.0443 0.5906 0.189, -0.0443 0.6324 0.189, -0.0443 0.5906 0.189, 0.0443 0.6388 0.1883, -0.0443 0.6388 0.1883, 0.0443 0.6449 0.1862, -0.0443 0.6449 0.1862, 0.0443 0.6504 0.1829, -0.0443 0.6504 0.1829, 0.0443 0.655 0.1784, -0.0443 0.655 0.1784, 0.0443 0.6586 0.1731, -0.0443 0.6586 0.1731, 0.0443 0.6609 0.1671, -0.0443 0.6609 0.1671, 0.0443 0.6881 0.0657, -0.0443 0.6881 0.0657, -0.0443 0.6951 0.0477, 0.0443 0.6951 0.0477, -0.0443 0.7058 0.0316, 0.0443 0.7058 0.0316, -0.0443 0.7197 0.0183, 0.0443 0.7197 0.0183, -0.0443 0.7362 0.0083, 0.0443 0.7362 0.0083, -0.0443 0.7545 0.0021, 0.0443 0.7545 0.0021, -0.0443 0.7737 -0.0, 0.0443 0.7737 -0.0, 0.0443 0.9646 -0.0, -0.0443 0.9646 -0.0, 0.2411 0.7737 0.0591, 0.2411 0.9646 0.0591, 0.1526 0.7737 0.0591, 0.1526 0.9646 0.0591, 0.2411 0.7673 0.0598, 0.1526 0.7673 0.0598, 0.2411 0.7612 0.0618, 0.1526 0.7612 0.0618, 0.2411 0.7557 0.0652, 0.1526 0.7557 0.0652, 0.2411 0.751 0.0696, 0.1526 0.751 0.0696, 0.2411 0.7475 0.0749, 0.1526 0.7475 0.0749, 0.2411 0.7451 0.0809, 0.1526 0.7451 0.0809, 0.2411 0.718 0.1824, 0.1526 0.718 0.1824, 0.1526 0.711 0.2004, 0.2411 0.711 0.2004, 0.1526 0.7003 0.2164, 0.2411 0.7003 0.2164, 0.1526 0.6863 0.2297, 0.2411 0.6863 0.2297, 0.1526 0.6698 0.2397, 0.2411 0.6698 0.2397, 0.1526 0.6516 0.2459, 0.2411 0.6516 0.2459, 0.1526 0.6324 0.248, 0.2411 0.6324 0.248, 0.2411 0.5906 0.248, 0.1526 0.5906 0.248, 0.2411 0.6324 0.189, 0.2411 0.5906 0.189, 0.1526 0.6324 0.189, 0.1526 0.5906 0.189, 0.2411 0.6388 0.1883, 0.1526 0.6388 0.1883, 0.2411 0.6449 0.1862, 0.1526 0.6449 0.1862, 0.2411 0.6504 0.1829, 0.1526 0.6504 0.1829, 0.2411 0.655 0.1784, 0.1526 0.655 0.1784, 0.2411 0.6586 0.1731, 0.1526 0.6586 0.1731, 0.2411 0.6609 0.1671, 0.1526 0.6609 0.1671, 0.2411 0.6881 0.0657, 0.1526 0.6881 0.0657, 0.1526 0.6951 0.0477, 0.2411 0.6951 0.0477, 0.1526 0.7058 0.0316, 0.2411 0.7058 0.0316, 0.1526 0.7197 0.0183, 0.2411 0.7197 0.0183, 0.1526 0.7362 0.0083, 0.2411 0.7362 0.0083, 0.1526 0.7545 0.0021, 0.2411 0.7545 0.0021, 0.1526 0.7737 -0.0, 0.2411 0.7737 -0.0, 0.2411 0.9646 -0.0, 0.1526 0.9646 -0.0, 0.438 0.7737 0.0591, 0.438 0.9646 0.0591, 0.3494 0.7737 0.0591, 0.3494 0.9646 0.0591, 0.438 0.7673 0.0598, 0.3494 0.7673 0.0598, 0.438 0.7612 0.0618, 0.3494 0.7612 0.0618, 0.438 0.7557 0.0652, 0.3494 0.7557 0.0652, 0.438 0.751 0.0696, 0.3494 0.751 0.0696, 0.438 0.7475 0.0749, 0.3494 0.7475 0.0749, 0.438 0.7451 0.0809, 0.3494 0.7451 0.0809, 0.438 0.718 0.1824, 0.3494 0.718 0.1824, 0.3494 0.711 0.2004, 0.438 0.711 0.2004, 0.3494 0.7003 0.2164, 0.438 0.7003 0.2164, 0.3494 0.6863 0.2297, 0.438 0.6863 0.2297, 0.3494 0.6698 0.2397, 0.438 0.6698 0.2397, 0.3494 0.6516 0.2459, 0.438 0.6516 0.2459, 0.3494 0.6324 0.248, 0.438 0.6324 0.248, 0.438 0.5906 0.248, 0.3494 0.5906 0.248, 0.438 0.6324 0.189, 0.438 0.5906 0.189, 0.3494 0.6324 0.189, 0.3494 0.5906 0.189, 0.438 0.6388 0.1883, 0.3494 0.6388 0.1883, 0.438 0.6449 0.1862, 0.3494 0.6449 0.1862, 0.438 0.6504 0.1829, 0.3494 0.6504 0.1829, 0.438 0.655 0.1784, 0.3494 0.655 0.1784, 0.438 0.6586 0.1731, 0.3494 0.6586 0.1731, 0.438 0.6609 0.1671, 0.3494 0.6609 0.1671, 0.438 0.6881 0.0657, 0.3494 0.6881 0.0657, 0.3494 0.6951 0.0477, 0.438 0.6951 0.0477, 0.3494 0.7058 0.0316, 0.438 0.7058 0.0316, 0.3494 0.7197 0.0183, 0.438 0.7197 0.0183, 0.3494 0.7362 0.0083, 0.438 0.7362 0.0083, 0.3494 0.7545 0.0021, 0.438 0.7545 0.0021, 0.3494 0.7737 -0.0, 0.438 0.7737 -0.0, 0.438 0.9646 -0.0, 0.3494 0.9646 -0.0, -0.1526 -0.9646 0.0591, -0.1526 -0.7737 0.0591, -0.2411 -0.9646 0.0591, -0.2411 -0.7737 0.0591, -0.1526 -0.9646 -0.0, -0.2411 -0.9646 -0.0, -0.1526 -0.7737 -0.0, -0.2411 -0.7737 -0.0, -0.2411 -0.7545 0.0021, -0.1526 -0.7545 0.0021, -0.2411 -0.7362 0.0083, -0.1526 -0.7362 0.0083, -0.2411 -0.7197 0.0183, -0.1526 -0.7197 0.0183, -0.2411 -0.7058 0.0316, -0.1526 -0.7058 0.0316, -0.2411 -0.6951 0.0477, -0.1526 -0.6951 0.0477, -0.2411 -0.6881 0.0657, -0.1526 -0.6881 0.0657, -0.1526 -0.6609 0.1671, -0.2411 -0.6609 0.1671, -0.1526 -0.6586 0.1731, -0.2411 -0.6586 0.1731, -0.1526 -0.655 0.1784, -0.2411 -0.655 0.1784, -0.1526 -0.6504 0.1829, -0.2411 -0.6504 0.1829, -0.1526 -0.6449 0.1862, -0.2411 -0.6449 0.1862, -0.1526 -0.6388 0.1883, -0.2411 -0.6388 0.1883, -0.1526 -0.6324 0.189, -0.2411 -0.6324 0.189, -0.1526 -0.5906 0.189, -0.2411 -0.5906 0.189, -0.1526 -0.6324 0.248, -0.1526 -0.5906 0.248, -0.2411 -0.6324 0.248, -0.2411 -0.5906 0.248, -0.2411 -0.6516 0.2459, -0.1526 -0.6516 0.2459, -0.2411 -0.6698 0.2397, -0.1526 -0.6698 0.2397, -0.2411 -0.6863 0.2297, -0.1526 -0.6863 0.2297, -0.2411 -0.7003 0.2164, -0.1526 -0.7003 0.2164, -0.2411 -0.711 0.2004, -0.1526 -0.711 0.2004, -0.2411 -0.718 0.1824, -0.1526 -0.718 0.1824, -0.1526 -0.7451 0.0809, -0.2411 -0.7451 0.0809, -0.1526 -0.7475 0.0749, -0.2411 -0.7475 0.0749, -0.1526 -0.751 0.0696, -0.2411 -0.751 0.0696, -0.1526 -0.7557 0.0652, -0.2411 -0.7557 0.0652, -0.1526 -0.7612 0.0618, -0.2411 -0.7612 0.0618, -0.1526 -0.7673 0.0598, -0.2411 -0.7673 0.0598, 0.0443 -0.9646 0.0591, 0.0443 -0.7737 0.0591, -0.0443 -0.9646 0.0591, -0.0443 -0.7737 0.0591, 0.0443 -0.9646 -0.0, -0.0443 -0.9646 -0.0, 0.0443 -0.7737 -0.0, -0.0443 -0.7737 -0.0, -0.0443 -0.7545 0.0021, 0.0443 -0.7545 0.0021, -0.0443 -0.7362 0.0083, 0.0443 -0.7362 0.0083, -0.0443 -0.7197 0.0183, 0.0443 -0.7197 0.0183, -0.0443 -0.7058 0.0316, 0.0443 -0.7058 0.0316, -0.0443 -0.6951 0.0477, 0.0443 -0.6951 0.0477, -0.0443 -0.6881 0.0657, 0.0443 -0.6881 0.0657, 0.0443 -0.6609 0.1671, -0.0443 -0.6609 0.1671, 0.0443 -0.6586 0.1731, -0.0443 -0.6586 0.1731, 0.0443 -0.655 0.1784, -0.0443 -0.655 0.1784, 0.0443 -0.6504 0.1829, -0.0443 -0.6504 0.1829, 0.0443 -0.6449 0.1862, -0.0443 -0.6449 0.1862, 0.0443 -0.6388 0.1883, -0.0443 -0.6388 0.1883, 0.0443 -0.6324 0.189, -0.0443 -0.6324 0.189, 0.0443 -0.5906 0.189, -0.0443 -0.5906 0.189, 0.0443 -0.6324 0.248, 0.0443 -0.5906 0.248, -0.0443 -0.6324 0.248, -0.0443 -0.5906 0.248, -0.0443 -0.6516 0.2459, 0.0443 -0.6516 0.2459, -0.0443 -0.6698 0.2397, 0.0443 -0.6698 0.2397, -0.0443 -0.6863 0.2297, 0.0443 -0.6863 0.2297, -0.0443 -0.7003 0.2164, 0.0443 -0.7003 0.2164, -0.0443 -0.711 0.2004, 0.0443 -0.711 0.2004, -0.0443 -0.718 0.1824, 0.0443 -0.718 0.1824, 0.0443 -0.7451 0.0809, -0.0443 -0.7451 0.0809, 0.0443 -0.7475 0.0749, -0.0443 -0.7475 0.0749, 0.0443 -0.751 0.0696, -0.0443 -0.751 0.0696, 0.0443 -0.7557 0.0652, -0.0443 -0.7557 0.0652, 0.0443 -0.7612 0.0618, -0.0443 -0.7612 0.0618, 0.0443 -0.7673 0.0598, -0.0443 -0.7673 0.0598, 0.2411 -0.9646 0.0591, 0.2411 -0.7737 0.0591, 0.1526 -0.9646 0.0591, 0.1526 -0.7737 0.0591, 0.2411 -0.9646 -0.0, 0.1526 -0.9646 -0.0, 0.2411 -0.7737 -0.0, 0.1526 -0.7737 -0.0, 0.1526 -0.7545 0.0021, 0.2411 -0.7545 0.0021, 0.1526 -0.7362 0.0083, 0.2411 -0.7362 0.0083, 0.1526 -0.7197 0.0183, 0.2411 -0.7197 0.0183, 0.1526 -0.7058 0.0316, 0.2411 -0.7058 0.0316, 0.1526 -0.6951 0.0477, 0.2411 -0.6951 0.0477, 0.1526 -0.6881 0.0657, 0.2411 -0.6881 0.0657, 0.2411 -0.6609 0.1671, 0.1526 -0.6609 0.1671, 0.2411 -0.6586 0.1731, 0.1526 -0.6586 0.1731, 0.2411 -0.655 0.1784, 0.1526 -0.655 0.1784, 0.2411 -0.6504 0.1829, 0.1526 -0.6504 0.1829, 0.2411 -0.6449 0.1862, 0.1526 -0.6449 0.1862, 0.2411 -0.6388 0.1883, 0.1526 -0.6388 0.1883, 0.2411 -0.6324 0.189, 0.1526 -0.6324 0.189, 0.2411 -0.5906 0.189, 0.1526 -0.5906 0.189, 0.2411 -0.6324 0.248, 0.2411 -0.5906 0.248, 0.1526 -0.6324 0.248, 0.1526 -0.5906 0.248, 0.1526 -0.6516 0.2459, 0.2411 -0.6516 0.2459, 0.1526 -0.6698 0.2397, 0.2411 -0.6698 0.2397, 0.1526 -0.6863 0.2297, 0.2411 -0.6863 0.2297, 0.1526 -0.7003 0.2164, 0.2411 -0.7003 0.2164, 0.1526 -0.711 0.2004, 0.2411 -0.711 0.2004, 0.1526 -0.718 0.1824, 0.2411 -0.718 0.1824, 0.2411 -0.7451 0.0809, 0.1526 -0.7451 0.0809, 0.2411 -0.7475 0.0749, 0.1526 -0.7475 0.0749, 0.2411 -0.751 0.0696, 0.1526 -0.751 0.0696, 0.2411 -0.7557 0.0652, 0.1526 -0.7557 0.0652, 0.2411 -0.7612 0.0618, 0.1526 -0.7612 0.0618, 0.2411 -0.7673 0.0598, 0.1526 -0.7673 0.0598, 0.438 -0.9646 0.0591, 0.438 -0.7737 0.0591, 0.3494 -0.9646 0.0591, 0.3494 -0.7737 0.0591, 0.438 -0.9646 -0.0, 0.3494 -0.9646 -0.0, 0.438 -0.7737 -0.0, 0.3494 -0.7737 -0.0, 0.3494 -0.7545 0.0021, 0.438 -0.7545 0.0021, 0.3494 -0.7362 0.0083, 0.438 -0.7362 0.0083, 0.3494 -0.7197 0.0183, 0.438 -0.7197 0.0183, 0.3494 -0.7058 0.0316, 0.438 -0.7058 0.0316, 0.3494 -0.6951 0.0477, 0.438 -0.6951 0.0477, 0.3494 -0.6881 0.0657, 0.438 -0.6881 0.0657, 0.438 -0.6609 0.1671, 0.3494 -0.6609 0.1671, 0.438 -0.6586 0.1731, 0.3494 -0.6586 0.1731, 0.438 -0.655 0.1784, 0.3494 -0.655 0.1784, 0.438 -0.6504 0.1829, 0.3494 -0.6504 0.1829, 0.438 -0.6449 0.1862, 0.3494 -0.6449 0.1862, 0.438 -0.6388 0.1883, 0.3494 -0.6388 0.1883, 0.438 -0.6324 0.189, 0.3494 -0.6324 0.189, 0.438 -0.5906 0.189, 0.3494 -0.5906 0.189, 0.438 -0.6324 0.248, 0.438 -0.5906 0.248, 0.3494 -0.6324 0.248, 0.3494 -0.5906 0.248, 0.3494 -0.6516 0.2459, 0.438 -0.6516 0.2459, 0.3494 -0.6698 0.2397, 0.438 -0.6698 0.2397, 0.3494 -0.6863 0.2297, 0.438 -0.6863 0.2297, 0.3494 -0.7003 0.2164, 0.438 -0.7003 0.2164, 0.3494 -0.711 0.2004, 0.438 -0.711 0.2004, 0.3494 -0.718 0.1824, 0.438 -0.718 0.1824, 0.438 -0.7451 0.0809, 0.3494 -0.7451 0.0809, 0.438 -0.7475 0.0749, 0.3494 -0.7475 0.0749, 0.438 -0.751 0.0696, 0.3494 -0.751 0.0696, 0.438 -0.7557 0.0652, 0.3494 -0.7557 0.0652, 0.438 -0.7612 0.0618, 0.3494 -0.7612 0.0618, 0.438 -0.7673 0.0598, 0.3494 -0.7673 0.0598, 0.3494 -0.7673 0.0598 + ] + } + coordIndex [ + 0,1,2,-1,2,1,3,-1,4,0,5,-1,5,0,2,-1,6,4,7,-1,7,4,5,-1,6,7,8,-1,6,8,9,-1,9,8,10,-1,9,10,11,-1,11,10,12,-1,11,12,13,-1,13,12,14,-1,13,14,15,-1,15,14,16,-1,15,16,17,-1,17,16,18,-1,17,18,19,-1,20,19,21,-1,21,19,18,-1,20,21,22,-1,22,21,23,-1,22,23,24,-1,24,23,25,-1,24,25,26,-1,26,25,27,-1,26,27,28,-1,28,27,29,-1,28,29,30,-1,30,29,31,-1,30,31,32,-1,32,31,33,-1,34,32,35,-1,35,32,33,-1,36,37,38,-1,38,37,39,-1,36,38,40,-1,36,40,41,-1,41,40,42,-1,41,42,43,-1,43,42,44,-1,43,44,45,-1,45,44,46,-1,45,46,47,-1,47,46,48,-1,47,48,49,-1,49,48,50,-1,49,50,51,-1,52,51,53,-1,53,51,50,-1,52,53,54,-1,54,53,55,-1,54,55,56,-1,56,55,57,-1,56,57,58,-1,58,57,59,-1,58,59,60,-1,60,59,61,-1,60,61,62,-1,62,61,63,-1,62,63,1,-1,1,63,3,-1,34,37,32,-1,32,37,36,-1,32,36,30,-1,30,36,41,-1,30,41,28,-1,28,41,43,-1,28,43,26,-1,26,43,45,-1,26,45,24,-1,24,45,47,-1,24,47,22,-1,22,47,49,-1,22,49,20,-1,20,49,51,-1,20,51,19,-1,19,51,52,-1,19,52,17,-1,17,52,54,-1,17,54,15,-1,15,54,56,-1,15,56,13,-1,13,56,58,-1,13,58,11,-1,11,58,60,-1,11,60,9,-1,9,60,62,-1,9,62,6,-1,6,62,1,-1,6,1,4,-1,4,1,0,-1,5,2,7,-1,7,2,3,-1,7,3,8,-1,8,3,63,-1,8,63,10,-1,10,63,61,-1,10,61,12,-1,12,61,59,-1,12,59,14,-1,14,59,57,-1,14,57,16,-1,16,57,55,-1,16,55,18,-1,18,55,53,-1,18,53,21,-1,21,53,50,-1,21,50,23,-1,23,50,48,-1,23,48,25,-1,25,48,46,-1,25,46,27,-1,27,46,44,-1,27,44,29,-1,29,44,42,-1,29,42,31,-1,31,42,40,-1,31,40,33,-1,33,40,38,-1,33,38,35,-1,35,38,39,-1,64,65,66,-1,66,65,67,-1,64,66,68,-1,68,66,69,-1,68,69,70,-1,70,69,71,-1,70,71,72,-1,72,71,73,-1,72,73,74,-1,74,73,75,-1,74,75,76,-1,76,75,77,-1,76,77,78,-1,78,77,79,-1,80,78,81,-1,81,78,79,-1,80,81,82,-1,80,82,83,-1,83,82,84,-1,83,84,85,-1,85,84,86,-1,85,86,87,-1,87,86,88,-1,87,88,89,-1,89,88,90,-1,89,90,91,-1,91,90,92,-1,91,92,93,-1,94,93,95,-1,95,93,92,-1,96,97,98,-1,98,97,99,-1,96,98,100,-1,100,98,101,-1,100,101,102,-1,102,101,103,-1,102,103,104,-1,104,103,105,-1,104,105,106,-1,106,105,107,-1,106,107,108,-1,108,107,109,-1,108,109,110,-1,110,109,111,-1,112,110,113,-1,113,110,111,-1,112,113,114,-1,112,114,115,-1,115,114,116,-1,115,116,117,-1,117,116,118,-1,117,118,119,-1,119,118,120,-1,119,120,121,-1,121,120,122,-1,121,122,123,-1,123,122,124,-1,123,124,125,-1,126,125,127,-1,127,125,124,-1,65,126,67,-1,67,126,127,-1,126,65,125,-1,125,65,64,-1,125,64,123,-1,123,64,68,-1,123,68,121,-1,121,68,70,-1,121,70,119,-1,119,70,72,-1,119,72,117,-1,117,72,74,-1,117,74,115,-1,115,74,76,-1,115,76,112,-1,112,76,78,-1,112,78,110,-1,110,78,80,-1,110,80,108,-1,108,80,83,-1,108,83,106,-1,106,83,85,-1,106,85,104,-1,104,85,87,-1,104,87,102,-1,102,87,89,-1,102,89,100,-1,100,89,91,-1,100,91,96,-1,96,91,93,-1,96,93,97,-1,97,93,94,-1,99,95,98,-1,98,95,92,-1,98,92,101,-1,101,92,90,-1,101,90,103,-1,103,90,88,-1,103,88,105,-1,105,88,86,-1,105,86,107,-1,107,86,84,-1,107,84,109,-1,109,84,82,-1,109,82,111,-1,111,82,81,-1,111,81,113,-1,113,81,79,-1,113,79,114,-1,114,79,77,-1,114,77,116,-1,116,77,75,-1,116,75,118,-1,118,75,73,-1,118,73,120,-1,120,73,71,-1,120,71,122,-1,122,71,69,-1,122,69,124,-1,124,69,66,-1,124,66,127,-1,127,66,67,-1,128,129,130,-1,130,129,131,-1,128,130,132,-1,132,130,133,-1,132,133,134,-1,134,133,135,-1,134,135,136,-1,136,135,137,-1,136,137,138,-1,138,137,139,-1,138,139,140,-1,140,139,141,-1,140,141,142,-1,142,141,143,-1,144,142,145,-1,145,142,143,-1,144,145,146,-1,144,146,147,-1,147,146,148,-1,147,148,149,-1,149,148,150,-1,149,150,151,-1,151,150,152,-1,151,152,153,-1,153,152,154,-1,153,154,155,-1,155,154,156,-1,155,156,157,-1,158,157,159,-1,159,157,156,-1,160,161,162,-1,162,161,163,-1,160,162,164,-1,164,162,165,-1,164,165,166,-1,166,165,167,-1,166,167,168,-1,168,167,169,-1,168,169,170,-1,170,169,171,-1,170,171,172,-1,172,171,173,-1,172,173,174,-1,174,173,175,-1,176,174,177,-1,177,174,175,-1,176,177,178,-1,176,178,179,-1,179,178,180,-1,179,180,181,-1,181,180,182,-1,181,182,183,-1,183,182,184,-1,183,184,185,-1,185,184,186,-1,185,186,187,-1,187,186,188,-1,187,188,189,-1,190,189,191,-1,191,189,188,-1,129,190,131,-1,131,190,191,-1,190,129,189,-1,189,129,128,-1,189,128,187,-1,187,128,132,-1,187,132,185,-1,185,132,134,-1,185,134,183,-1,183,134,136,-1,183,136,181,-1,181,136,138,-1,181,138,179,-1,179,138,140,-1,179,140,176,-1,176,140,142,-1,176,142,174,-1,174,142,144,-1,174,144,172,-1,172,144,147,-1,172,147,170,-1,170,147,149,-1,170,149,168,-1,168,149,151,-1,168,151,166,-1,166,151,153,-1,166,153,164,-1,164,153,155,-1,164,155,160,-1,160,155,157,-1,160,157,161,-1,161,157,158,-1,163,159,162,-1,162,159,156,-1,162,156,165,-1,165,156,154,-1,165,154,167,-1,167,154,152,-1,167,152,169,-1,169,152,150,-1,169,150,171,-1,171,150,148,-1,171,148,173,-1,173,148,146,-1,173,146,175,-1,175,146,145,-1,175,145,177,-1,177,145,143,-1,177,143,178,-1,178,143,141,-1,178,141,180,-1,180,141,139,-1,180,139,182,-1,182,139,137,-1,182,137,184,-1,184,137,135,-1,184,135,186,-1,186,135,133,-1,186,133,188,-1,188,133,130,-1,188,130,191,-1,191,130,131,-1,192,193,194,-1,194,193,195,-1,192,194,196,-1,196,194,197,-1,196,197,198,-1,198,197,199,-1,198,199,200,-1,200,199,201,-1,200,201,202,-1,202,201,203,-1,202,203,204,-1,204,203,205,-1,204,205,206,-1,206,205,207,-1,208,206,209,-1,209,206,207,-1,208,209,210,-1,208,210,211,-1,211,210,212,-1,211,212,213,-1,213,212,214,-1,213,214,215,-1,215,214,216,-1,215,216,217,-1,217,216,218,-1,217,218,219,-1,219,218,220,-1,219,220,221,-1,222,221,223,-1,223,221,220,-1,224,225,226,-1,226,225,227,-1,224,226,228,-1,228,226,229,-1,228,229,230,-1,230,229,231,-1,230,231,232,-1,232,231,233,-1,232,233,234,-1,234,233,235,-1,234,235,236,-1,236,235,237,-1,236,237,238,-1,238,237,239,-1,240,238,241,-1,241,238,239,-1,240,241,242,-1,240,242,243,-1,243,242,244,-1,243,244,245,-1,245,244,246,-1,245,246,247,-1,247,246,248,-1,247,248,249,-1,249,248,250,-1,249,250,251,-1,251,250,252,-1,251,252,253,-1,254,253,255,-1,255,253,252,-1,193,254,195,-1,195,254,255,-1,254,193,253,-1,253,193,192,-1,253,192,251,-1,251,192,196,-1,251,196,249,-1,249,196,198,-1,249,198,247,-1,247,198,200,-1,247,200,245,-1,245,200,202,-1,245,202,243,-1,243,202,204,-1,243,204,240,-1,240,204,206,-1,240,206,238,-1,238,206,208,-1,238,208,236,-1,236,208,211,-1,236,211,234,-1,234,211,213,-1,234,213,232,-1,232,213,215,-1,232,215,230,-1,230,215,217,-1,230,217,228,-1,228,217,219,-1,228,219,224,-1,224,219,221,-1,224,221,225,-1,225,221,222,-1,227,223,226,-1,226,223,220,-1,226,220,229,-1,229,220,218,-1,229,218,231,-1,231,218,216,-1,231,216,233,-1,233,216,214,-1,233,214,235,-1,235,214,212,-1,235,212,237,-1,237,212,210,-1,237,210,239,-1,239,210,209,-1,239,209,241,-1,241,209,207,-1,241,207,242,-1,242,207,205,-1,242,205,244,-1,244,205,203,-1,244,203,246,-1,246,203,201,-1,246,201,248,-1,248,201,199,-1,248,199,250,-1,250,199,197,-1,250,197,252,-1,252,197,194,-1,252,194,255,-1,255,194,195,-1,256,257,258,-1,258,257,259,-1,256,258,260,-1,260,258,261,-1,260,261,262,-1,262,261,263,-1,262,263,264,-1,264,263,265,-1,264,265,266,-1,266,265,267,-1,266,267,268,-1,268,267,269,-1,268,269,270,-1,270,269,271,-1,272,270,273,-1,273,270,271,-1,272,273,274,-1,272,274,275,-1,275,274,276,-1,275,276,277,-1,277,276,278,-1,277,278,279,-1,279,278,280,-1,279,280,281,-1,281,280,282,-1,281,282,283,-1,283,282,284,-1,283,284,285,-1,286,285,287,-1,287,285,284,-1,288,289,290,-1,290,289,291,-1,288,290,292,-1,292,290,293,-1,292,293,294,-1,294,293,295,-1,294,295,296,-1,296,295,297,-1,296,297,298,-1,298,297,299,-1,298,299,300,-1,300,299,301,-1,300,301,302,-1,302,301,303,-1,304,302,305,-1,305,302,303,-1,304,305,306,-1,304,306,307,-1,307,306,308,-1,307,308,309,-1,309,308,310,-1,309,310,311,-1,311,310,312,-1,311,312,313,-1,313,312,314,-1,313,314,315,-1,315,314,316,-1,315,316,317,-1,318,317,319,-1,319,317,316,-1,257,318,259,-1,259,318,319,-1,318,257,317,-1,317,257,256,-1,317,256,315,-1,315,256,260,-1,315,260,313,-1,313,260,262,-1,313,262,311,-1,311,262,264,-1,311,264,309,-1,309,264,266,-1,309,266,307,-1,307,266,268,-1,307,268,304,-1,304,268,270,-1,304,270,302,-1,302,270,272,-1,302,272,300,-1,300,272,275,-1,300,275,298,-1,298,275,277,-1,298,277,296,-1,296,277,279,-1,296,279,294,-1,294,279,281,-1,294,281,292,-1,292,281,283,-1,292,283,288,-1,288,283,285,-1,288,285,289,-1,289,285,286,-1,291,287,290,-1,290,287,284,-1,290,284,293,-1,293,284,282,-1,293,282,295,-1,295,282,280,-1,295,280,297,-1,297,280,278,-1,297,278,299,-1,299,278,276,-1,299,276,301,-1,301,276,274,-1,301,274,303,-1,303,274,273,-1,303,273,305,-1,305,273,271,-1,305,271,306,-1,306,271,269,-1,306,269,308,-1,308,269,267,-1,308,267,310,-1,310,267,265,-1,310,265,312,-1,312,265,263,-1,312,263,314,-1,314,263,261,-1,314,261,316,-1,316,261,258,-1,316,258,319,-1,319,258,259,-1,320,321,322,-1,322,321,323,-1,320,322,324,-1,324,322,325,-1,324,325,326,-1,326,325,327,-1,326,327,328,-1,328,327,329,-1,328,329,330,-1,330,329,331,-1,330,331,332,-1,332,331,333,-1,332,333,334,-1,334,333,335,-1,336,334,337,-1,337,334,335,-1,336,337,338,-1,336,338,339,-1,339,338,340,-1,339,340,341,-1,341,340,342,-1,341,342,343,-1,343,342,344,-1,343,344,345,-1,345,344,346,-1,345,346,347,-1,347,346,348,-1,347,348,349,-1,350,349,351,-1,351,349,348,-1,352,353,354,-1,354,353,355,-1,352,354,356,-1,356,354,357,-1,356,357,358,-1,358,357,359,-1,358,359,360,-1,360,359,361,-1,360,361,362,-1,362,361,363,-1,362,363,364,-1,364,363,365,-1,364,365,366,-1,366,365,367,-1,368,366,369,-1,369,366,367,-1,368,369,370,-1,368,370,371,-1,371,370,372,-1,371,372,373,-1,373,372,374,-1,373,374,375,-1,375,374,376,-1,375,376,377,-1,377,376,378,-1,377,378,379,-1,379,378,380,-1,379,380,381,-1,382,381,383,-1,383,381,380,-1,321,382,323,-1,323,382,383,-1,382,321,381,-1,381,321,320,-1,381,320,379,-1,379,320,324,-1,379,324,377,-1,377,324,326,-1,377,326,375,-1,375,326,328,-1,375,328,373,-1,373,328,330,-1,373,330,371,-1,371,330,332,-1,371,332,368,-1,368,332,334,-1,368,334,366,-1,366,334,336,-1,366,336,364,-1,364,336,339,-1,364,339,362,-1,362,339,341,-1,362,341,360,-1,360,341,343,-1,360,343,358,-1,358,343,345,-1,358,345,356,-1,356,345,347,-1,356,347,352,-1,352,347,349,-1,352,349,353,-1,353,349,350,-1,355,351,354,-1,354,351,348,-1,354,348,357,-1,357,348,346,-1,357,346,359,-1,359,346,344,-1,359,344,361,-1,361,344,342,-1,361,342,363,-1,363,342,340,-1,363,340,365,-1,365,340,338,-1,365,338,367,-1,367,338,337,-1,367,337,369,-1,369,337,335,-1,369,335,370,-1,370,335,333,-1,370,333,372,-1,372,333,331,-1,372,331,374,-1,374,331,329,-1,374,329,376,-1,376,329,327,-1,376,327,378,-1,378,327,325,-1,378,325,380,-1,380,325,322,-1,380,322,383,-1,383,322,323,-1,384,385,386,-1,386,385,387,-1,388,384,389,-1,389,384,386,-1,390,388,391,-1,391,388,389,-1,390,391,392,-1,390,392,393,-1,393,392,394,-1,393,394,395,-1,395,394,396,-1,395,396,397,-1,397,396,398,-1,397,398,399,-1,399,398,400,-1,399,400,401,-1,401,400,402,-1,401,402,403,-1,404,403,405,-1,405,403,402,-1,404,405,406,-1,406,405,407,-1,406,407,408,-1,408,407,409,-1,408,409,410,-1,410,409,411,-1,410,411,412,-1,412,411,413,-1,412,413,414,-1,414,413,415,-1,414,415,416,-1,416,415,417,-1,418,416,419,-1,419,416,417,-1,420,421,422,-1,422,421,423,-1,420,422,424,-1,420,424,425,-1,425,424,426,-1,425,426,427,-1,427,426,428,-1,427,428,429,-1,429,428,430,-1,429,430,431,-1,431,430,432,-1,431,432,433,-1,433,432,434,-1,433,434,435,-1,436,435,437,-1,437,435,434,-1,436,437,438,-1,438,437,439,-1,438,439,440,-1,440,439,441,-1,440,441,442,-1,442,441,443,-1,442,443,444,-1,444,443,445,-1,444,445,446,-1,446,445,447,-1,446,447,385,-1,385,447,387,-1,418,421,416,-1,416,421,420,-1,416,420,414,-1,414,420,425,-1,414,425,412,-1,412,425,427,-1,412,427,410,-1,410,427,429,-1,410,429,408,-1,408,429,431,-1,408,431,406,-1,406,431,433,-1,406,433,404,-1,404,433,435,-1,404,435,403,-1,403,435,436,-1,403,436,401,-1,401,436,438,-1,401,438,399,-1,399,438,440,-1,399,440,397,-1,397,440,442,-1,397,442,395,-1,395,442,444,-1,395,444,393,-1,393,444,446,-1,393,446,390,-1,390,446,385,-1,390,385,388,-1,388,385,384,-1,389,386,391,-1,391,386,387,-1,391,387,392,-1,392,387,447,-1,392,447,394,-1,394,447,445,-1,394,445,396,-1,396,445,443,-1,396,443,398,-1,398,443,441,-1,398,441,400,-1,400,441,439,-1,400,439,402,-1,402,439,437,-1,402,437,405,-1,405,437,434,-1,405,434,407,-1,407,434,432,-1,407,432,409,-1,409,432,430,-1,409,430,411,-1,411,430,428,-1,411,428,413,-1,413,428,426,-1,413,426,415,-1,415,426,424,-1,415,424,417,-1,417,424,422,-1,417,422,419,-1,419,422,423,-1,448,449,450,-1,450,449,451,-1,452,448,453,-1,453,448,450,-1,454,452,455,-1,455,452,453,-1,454,455,456,-1,454,456,457,-1,457,456,458,-1,457,458,459,-1,459,458,460,-1,459,460,461,-1,461,460,462,-1,461,462,463,-1,463,462,464,-1,463,464,465,-1,465,464,466,-1,465,466,467,-1,468,467,469,-1,469,467,466,-1,468,469,470,-1,470,469,471,-1,470,471,472,-1,472,471,473,-1,472,473,474,-1,474,473,475,-1,474,475,476,-1,476,475,477,-1,476,477,478,-1,478,477,479,-1,478,479,480,-1,480,479,481,-1,482,480,483,-1,483,480,481,-1,484,485,486,-1,486,485,487,-1,484,486,488,-1,484,488,489,-1,489,488,490,-1,489,490,491,-1,491,490,492,-1,491,492,493,-1,493,492,494,-1,493,494,495,-1,495,494,496,-1,495,496,497,-1,497,496,498,-1,497,498,499,-1,500,499,501,-1,501,499,498,-1,500,501,502,-1,502,501,503,-1,502,503,504,-1,504,503,505,-1,504,505,506,-1,506,505,507,-1,506,507,508,-1,508,507,509,-1,508,509,510,-1,510,509,511,-1,510,511,449,-1,449,511,451,-1,482,485,480,-1,480,485,484,-1,480,484,478,-1,478,484,489,-1,478,489,476,-1,476,489,491,-1,476,491,474,-1,474,491,493,-1,474,493,472,-1,472,493,495,-1,472,495,470,-1,470,495,497,-1,470,497,468,-1,468,497,499,-1,468,499,467,-1,467,499,500,-1,467,500,465,-1,465,500,502,-1,465,502,463,-1,463,502,504,-1,463,504,461,-1,461,504,506,-1,461,506,459,-1,459,506,508,-1,459,508,457,-1,457,508,510,-1,457,510,454,-1,454,510,449,-1,454,449,452,-1,452,449,448,-1,453,450,455,-1,455,450,451,-1,455,451,456,-1,456,451,511,-1,456,511,458,-1,458,511,509,-1,458,509,460,-1,460,509,507,-1,460,507,462,-1,462,507,505,-1,462,505,464,-1,464,505,503,-1,464,503,466,-1,466,503,501,-1,466,501,469,-1,469,501,498,-1,469,498,471,-1,471,498,496,-1,471,496,473,-1,473,496,494,-1,473,494,475,-1,475,494,492,-1,475,492,477,-1,477,492,490,-1,477,490,479,-1,479,490,488,-1,479,488,481,-1,481,488,486,-1,481,486,483,-1,483,486,487,-1,512,513,514,-1,514,513,515,-1,516,512,517,-1,517,512,514,-1,518,516,519,-1,519,516,517,-1,518,519,520,-1,518,520,521,-1,521,520,522,-1,521,522,523,-1,523,522,524,-1,523,524,525,-1,525,524,526,-1,525,526,527,-1,527,526,528,-1,527,528,529,-1,529,528,530,-1,529,530,531,-1,532,531,533,-1,533,531,530,-1,532,533,534,-1,534,533,535,-1,534,535,536,-1,536,535,537,-1,536,537,538,-1,538,537,539,-1,538,539,540,-1,540,539,541,-1,540,541,542,-1,542,541,543,-1,542,543,544,-1,544,543,545,-1,546,544,547,-1,547,544,545,-1,548,549,550,-1,550,549,551,-1,548,550,552,-1,548,552,553,-1,553,552,554,-1,553,554,555,-1,555,554,556,-1,555,556,557,-1,557,556,558,-1,557,558,559,-1,559,558,560,-1,559,560,561,-1,561,560,562,-1,561,562,563,-1,564,563,565,-1,565,563,562,-1,564,565,566,-1,566,565,567,-1,566,567,568,-1,568,567,569,-1,568,569,570,-1,570,569,571,-1,570,571,572,-1,572,571,573,-1,572,573,574,-1,574,573,575,-1,574,575,513,-1,513,575,515,-1,546,549,544,-1,544,549,548,-1,544,548,542,-1,542,548,553,-1,542,553,540,-1,540,553,555,-1,540,555,538,-1,538,555,557,-1,538,557,536,-1,536,557,559,-1,536,559,534,-1,534,559,561,-1,534,561,532,-1,532,561,563,-1,532,563,531,-1,531,563,564,-1,531,564,529,-1,529,564,566,-1,529,566,527,-1,527,566,568,-1,527,568,525,-1,525,568,570,-1,525,570,523,-1,523,570,572,-1,523,572,521,-1,521,572,574,-1,521,574,518,-1,518,574,513,-1,518,513,516,-1,516,513,512,-1,517,514,519,-1,519,514,515,-1,519,515,520,-1,520,515,575,-1,520,575,522,-1,522,575,573,-1,522,573,524,-1,524,573,571,-1,524,571,526,-1,526,571,569,-1,526,569,528,-1,528,569,567,-1,528,567,530,-1,530,567,565,-1,530,565,533,-1,533,565,562,-1,533,562,535,-1,535,562,560,-1,535,560,537,-1,537,560,558,-1,537,558,539,-1,539,558,556,-1,539,556,541,-1,541,556,554,-1,541,554,543,-1,543,554,552,-1,543,552,545,-1,545,552,550,-1,545,550,547,-1,547,550,551,-1,576,577,578,-1,578,577,579,-1,580,576,581,-1,581,576,578,-1,582,580,583,-1,583,580,581,-1,582,583,584,-1,582,584,585,-1,585,584,586,-1,585,586,587,-1,587,586,588,-1,587,588,589,-1,589,588,590,-1,589,590,591,-1,591,590,592,-1,591,592,593,-1,593,592,594,-1,593,594,595,-1,596,595,597,-1,597,595,594,-1,596,597,598,-1,598,597,599,-1,598,599,600,-1,600,599,601,-1,600,601,602,-1,602,601,603,-1,602,603,604,-1,604,603,605,-1,604,605,606,-1,606,605,607,-1,606,607,608,-1,608,607,609,-1,610,608,611,-1,611,608,609,-1,612,613,614,-1,614,613,615,-1,612,614,616,-1,612,616,617,-1,617,616,618,-1,617,618,619,-1,619,618,620,-1,619,620,621,-1,621,620,622,-1,621,622,623,-1,623,622,624,-1,623,624,625,-1,625,624,626,-1,625,626,627,-1,628,627,629,-1,629,627,626,-1,628,629,630,-1,630,629,631,-1,630,631,632,-1,632,631,633,-1,632,633,634,-1,634,633,635,-1,634,635,636,-1,636,635,637,-1,636,637,638,-1,638,637,639,-1,638,639,577,-1,577,639,579,-1,610,613,608,-1,608,613,612,-1,608,612,606,-1,606,612,617,-1,606,617,604,-1,604,617,619,-1,604,619,602,-1,602,619,621,-1,602,621,600,-1,600,621,623,-1,600,623,598,-1,598,623,625,-1,598,625,596,-1,596,625,627,-1,596,627,595,-1,595,627,628,-1,595,628,593,-1,593,628,630,-1,593,630,591,-1,591,630,632,-1,591,632,589,-1,589,632,634,-1,589,634,587,-1,587,634,636,-1,587,636,585,-1,585,636,638,-1,585,638,582,-1,582,638,577,-1,582,577,580,-1,580,577,576,-1,581,578,583,-1,583,578,579,-1,583,579,584,-1,584,579,639,-1,584,639,586,-1,586,639,637,-1,586,637,588,-1,588,637,635,-1,588,635,590,-1,590,635,633,-1,590,633,592,-1,592,633,631,-1,592,631,594,-1,594,631,629,-1,594,629,597,-1,597,629,626,-1,597,626,599,-1,599,626,624,-1,599,624,601,-1,601,624,622,-1,601,622,603,-1,603,622,620,-1,603,620,605,-1,605,620,618,-1,605,618,607,-1,607,618,616,-1,607,616,609,-1,609,616,614,-1,609,614,611,-1,611,614,615,-1, + ] + } +} \ No newline at end of file diff --git a/elec/footprints/footprints.kicad_sym b/elec/footprints/footprints.kicad_sym index bbfd695..d5ed3e0 100644 --- a/elec/footprints/footprints.kicad_sym +++ b/elec/footprints/footprints.kicad_sym @@ -1,2 +1,108 @@ (kicad_symbol_lib + (symbol "PCA9615DPJ" + (in_bom yes) + (on_board yes) + (property + "Reference" + "U" + (id 0) + (at 0 10.16 0) + (effects (font (size 1.27 1.27) ) ) + ) + (property + "Value" + "PCA9615DPJ" + (id 1) + (at 0 -10.16 0) + (effects (font (size 1.27 1.27) ) ) + ) + (property + "Footprint" + "footprints:TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL" + (id 2) + (at 0 -12.70 0) + (effects (font (size 1.27 1.27) ) hide) + ) + (property + "LCSC Part" + "C2652385" + (id 5) + (at 0 -15.24 0) + (effects (font (size 1.27 1.27) ) hide) + ) + (symbol "PCA9615DPJ_0_1" + (rectangle + (start -11.43 7.62) + (end 11.43 -7.62) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (circle + (center -10.16 6.35) + (radius 0.38) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin unspecified line + (at -13.97 5.08 0) + (length 2.54) + (name "VDD(A)" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at -13.97 2.54 0) + (length 2.54) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at -13.97 -0.00 0) + (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at -13.97 -2.54 0) + (length 2.54) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at -13.97 -5.08 0) + (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at 13.97 -5.08 180) + (length 2.54) + (name "DSCLM" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at 13.97 -2.54 180) + (length 2.54) + (name "DSCLP" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at 13.97 -0.00 180) + (length 2.54) + (name "DSDAP" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at 13.97 2.54 180) + (length 2.54) + (name "DSDAM" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line + (at 13.97 5.08 180) + (length 2.54) + (name "VDD(B)" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + ) + ) ) \ No newline at end of file diff --git a/elec/footprints/footprints.pretty/TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL.kicad_mod b/elec/footprints/footprints.pretty/TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL.kicad_mod new file mode 100644 index 0000000..4442de0 --- /dev/null +++ b/elec/footprints/footprints.pretty/TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL.kicad_mod @@ -0,0 +1,36 @@ +(module easyeda2kicad:TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL (layer F.Cu) (tedit 5DC5F6A4) + (attr smd) + (fp_text reference REF** (at 0 -6.3) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL (at 0 6.3) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.50 -1.50) (end 1.50 -1.50) (layer F.SilkS) (width 0.25)) + (fp_line (start 1.50 1.50) (end 1.50 -1.50) (layer F.SilkS) (width 0.25)) + (fp_line (start -1.50 1.50) (end 1.50 1.50) (layer F.SilkS) (width 0.25)) + (fp_line (start -1.50 -1.50) (end -1.50 -0.53) (layer F.SilkS) (width 0.25)) + (fp_line (start -1.50 1.50) (end -1.50 0.49) (layer F.SilkS) (width 0.25)) + (pad 1 smd rect (at -1.00 2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -0.50 2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0.00 2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 0.50 2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 1.00 2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 1.00 -2.30 -90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at 0.50 -2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 8 smd rect (at 0.00 -2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 9 smd rect (at -0.50 -2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (pad 10 smd rect (at -1.00 -2.30 90.00) (size 1.00 0.30) (layers F.Cu F.Paste F.Mask)) + (fp_circle (center -1.45 2.40) (end -1.40 2.40) (layer F.Fab) (width 0.10)) + (fp_circle (center -1.04 2.29) (end -0.91 2.29) (layer Cmts.User) (width 0.25)) + (fp_circle (center -1.55 2.26) (end -1.42 2.26) (layer F.SilkS) (width 0.25)) + (fp_arc (start -1.50 -0.02) (end -1.50 0.49) (angle -180.00) (layer F.SilkS) (width 0.25)) + (model "C:/Users/justi/Documents/ato/test/.ato/modules/pca9615/elec/footprints/footprints.3dshapes/TSSOP-10_L3.0-W3.0-H1.0-LS4.9-P0.5.wrl" + (offset (xyz 0.000 -0.000 -0.000)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) \ No newline at end of file diff --git a/elec/layout/default/pca9615.kicad_pcb b/elec/layout/default/pca9615.kicad_pcb index 8fe7ad4..76e6557 100644 --- a/elec/layout/default/pca9615.kicad_pcb +++ b/elec/layout/default/pca9615.kicad_pcb @@ -89,1678 +89,435 @@ ) (net 0 "") + (net 1 "vdd_a_") + (net 2 "sda") + (net 3 "en") + (net 4 "scl") + (net 5 "gnd") + (net 6 "dsclm") + (net 7 "dsclp") + (net 8 "dsdap") + (net 9 "dsdam") + (net 10 "vdd_b_") - (gr_circle (center 225.62 81.79) (end 227.32 81.79) - (stroke (width 0.1) (type solid)) (fill none) (layer "Edge.Cuts") (tstamp 019c0a6d-9bb8-42f8-8584-8f200b71a27b)) - (gr_circle (center 257.62 113.79) (end 259.32 113.79) - (stroke (width 0.1) (type solid)) (fill none) (layer "Edge.Cuts") (tstamp 097c55d3-ce7a-4886-a7db-9a4e9fcd0127)) - (gr_circle (center 257.62 81.79) (end 259.32 81.79) - (stroke (width 0.1) (type solid)) (fill none) (layer "Edge.Cuts") (tstamp 0e8e5788-23b0-4e0e-993f-a94957498ae3)) - (gr_poly - (pts - (xy 75.142222 50.797022) - (xy 79.756606 51.568267) - (xy 82.366969 51.99203) - (xy 83.019066 52.095762) - (xy 83.303876 52.146979) - (xy 83.563537 52.198618) - (xy 83.799806 52.251323) - (xy 84.01444 52.305736) - (xy 84.209196 52.362498) - (xy 84.385831 52.422252) - (xy 84.546102 52.485641) - (xy 84.691766 52.553306) - (xy 84.824581 52.62589) - (xy 84.946303 52.704035) - (xy 85.05869 52.788383) - (xy 85.163499 52.879577) - (xy 85.262486 52.978258) - (xy 85.357409 53.08507) - (xy 85.479286 53.234958) - (xy 85.586233 53.378736) - (xy 85.634185 53.44915) - (xy 85.678498 53.519015) - (xy 85.719202 53.588656) - (xy 85.75633 53.658402) - (xy 85.789911 53.728576) - (xy 85.819976 53.799506) - (xy 85.846558 53.871517) - (xy 85.869686 53.944936) - (xy 85.889392 54.020089) - (xy 85.905707 54.097301) - (xy 85.918662 54.176899) - (xy 85.928287 54.259209) - (xy 85.934615 54.344557) - (xy 85.937675 54.433269) - (xy 85.937499 54.525672) - (xy 85.934119 54.62209) - (xy 85.927564 54.722851) - (xy 85.917866 54.82828) - (xy 85.889166 55.054449) - (xy 85.848266 55.303204) - (xy 85.795414 55.577155) - (xy 85.730859 55.878909) - (xy 85.654849 56.211077) - (xy 84.878546 59.55341) - (xy 84.657875 60.550977) - (xy 84.450892 61.532488) - (xy 84.331406 62.116419) - (xy 84.229648 62.629765) - (xy 84.15639 63.017063) - (xy 84.122409 63.222849) - (xy 84.123062 63.236814) - (xy 84.127967 63.251873) - (xy 84.137065 63.268001) - (xy 84.150302 63.285171) - (xy 84.167619 63.303357) - (xy 84.188962 63.322534) - (xy 84.214273 63.342674) - (xy 84.243497 63.363751) - (xy 84.313454 63.408613) - (xy 84.398381 63.456909) - (xy 84.497828 63.50843) - (xy 84.611342 63.562966) - (xy 84.738473 63.620306) - (xy 84.878767 63.680241) - (xy 85.031775 63.742561) - (xy 85.197043 63.807056) - (xy 85.374121 63.873515) - (xy 85.562558 63.94173) - (xy 85.7619 64.011489) - (xy 85.971698 64.082583) - (xy 86.585981 64.296029) - (xy 87.172975 64.516947) - (xy 87.730729 64.744054) - (xy 88.257293 64.976069) - (xy 88.75072 65.211708) - (xy 89.209058 65.449689) - (xy 89.63036 65.68873) - (xy 90.012676 65.927548) - (xy 90.354056 66.16486) - (xy 90.652552 66.399385) - (xy 90.906214 66.629839) - (xy 91.113092 66.85494) - (xy 91.271238 67.073405) - (xy 91.378702 67.283953) - (xy 91.412819 67.385857) - (xy 91.433535 67.485301) - (xy 91.440605 67.582123) - (xy 91.433787 67.676165) - (xy 91.41712 67.753004) - (xy 91.390362 67.829368) - (xy 91.35383 67.905131) - (xy 91.307839 67.980165) - (xy 91.252707 68.054343) - (xy 91.188749 68.12754) - (xy 91.116281 68.199626) - (xy 91.03562 68.270477) - (xy 90.947082 68.339964) - (xy 90.850984 68.407961) - (xy 90.747641 68.47434) - (xy 90.637371 68.538975) - (xy 90.520488 68.601739) - (xy 90.39731 68.662505) - (xy 90.268153 68.721145) - (xy 90.133332 68.777534) - (xy 89.993165 68.831543) - (xy 89.847967 68.883047) - (xy 89.543745 68.978027) - (xy 89.223197 69.06146) - (xy 88.888852 69.132329) - (xy 88.54324 69.189618) - (xy 88.188892 69.232313) - (xy 88.009232 69.24787) - (xy 87.828337 69.259397) - (xy 87.646523 69.266767) - (xy 87.464106 69.269854) - (xy 87.202959 69.272042) - (xy 86.97453 69.277492) - (xy 86.777472 69.288473) - (xy 86.690288 69.296746) - (xy 86.610443 69.307253) - (xy 86.537768 69.320276) - (xy 86.472096 69.3361) - (xy 86.413258 69.355009) - (xy 86.361087 69.377285) - (xy 86.315414 69.403211) - (xy 86.276071 69.433073) - (xy 86.24289 69.467154) - (xy 86.215703 69.505736) - (xy 86.194342 69.549103) - (xy 86.178638 69.59754) - (xy 86.168424 69.65133) - (xy 86.163532 69.710755) - (xy 86.163793 69.776101) - (xy 86.169039 69.847649) - (xy 86.179102 69.925685) - (xy 86.193814 70.010491) - (xy 86.236514 70.20155) - (xy 86.295792 70.423093) - (xy 86.370304 70.677389) - (xy 86.458705 70.966708) - (xy 86.582128 71.384478) - (xy 86.694998 71.803093) - (xy 86.797211 72.221567) - (xy 86.888665 72.638919) - (xy 86.969257 73.054166) - (xy 87.038885 73.466323) - (xy 87.097447 73.874409) - (xy 87.144838 74.277439) - (xy 87.180958 74.674432) - (xy 87.205702 75.064404) - (xy 87.21897 75.446371) - (xy 87.220657 75.819352) - (xy 87.210662 76.182361) - (xy 87.188881 76.534418) - (xy 87.155212 76.874538) - (xy 87.109553 77.201739) - (xy 86.986123 77.871628) - (xy 86.830027 78.558912) - (xy 86.643845 79.255662) - (xy 86.430157 79.953949) - (xy 86.19154 80.645848) - (xy 85.930575 81.323429) - (xy 85.649841 81.978766) - (xy 85.351916 82.60393) - (xy 85.189192 82.938586) - (xy 85.118752 83.093315) - (xy 85.055229 83.240939) - (xy 84.998352 83.382431) - (xy 84.947849 83.518761) - (xy 84.903447 83.650903) - (xy 84.864875 83.779828) - (xy 84.831859 83.906506) - (xy 84.804129 84.031911) - (xy 84.781412 84.157013) - (xy 84.763435 84.282785) - (xy 84.749927 84.410197) - (xy 84.740615 84.540223) - (xy 84.735228 84.673833) - (xy 84.733493 84.811999) - (xy 84.736223 85.129082) - (xy 84.741369 85.261496) - (xy 84.750575 85.379459) - (xy 84.764997 85.485194) - (xy 84.774524 85.534172) - (xy 84.785789 85.580928) - (xy 84.798934 85.625739) - (xy 84.814106 85.668884) - (xy 84.831447 85.710641) - (xy 84.851104 85.751288) - (xy 84.873219 85.791103) - (xy 84.897937 85.830364) - (xy 84.925403 85.869349) - (xy 84.955761 85.908337) - (xy 84.989155 85.947605) - (xy 85.02573 85.987432) - (xy 85.109 86.069873) - (xy 85.206725 86.157886) - (xy 85.320061 86.253696) - (xy 85.598185 86.477602) - (xy 86.096863 86.886277) - (xy 86.577755 87.306529) - (xy 87.04138 87.739064) - (xy 87.488258 88.184586) - (xy 87.918909 88.6438) - (xy 88.333852 89.117412) - (xy 88.733607 89.606125) - (xy 89.118694 90.110646) - (xy 89.489632 90.631678) - (xy 89.846941 91.169927) - (xy 90.19114 91.726098) - (xy 90.52275 92.300895) - (xy 90.84229 92.895024) - (xy 91.150279 93.509189) - (xy 91.447237 94.144095) - (xy 91.733683 94.800448) - (xy 91.84059 95.047283) - (xy 91.945802 95.277558) - (xy 92.046625 95.486234) - (xy 92.140364 95.668271) - (xy 92.224324 95.818627) - (xy 92.295813 95.932265) - (xy 92.326039 95.973739) - (xy 92.352136 96.004143) - (xy 92.373767 96.022848) - (xy 92.382804 96.027616) - (xy 92.390597 96.029223) - (xy 92.399173 96.028006) - (xy 92.410522 96.024397) - (xy 92.441219 96.010237) - (xy 92.482045 95.987221) - (xy 92.53236 95.955824) - (xy 92.591521 95.916524) - (xy 92.658887 95.869798) - (xy 92.815666 95.755976) - (xy 92.997564 95.618173) - (xy 93.199447 95.460204) - (xy 93.416182 95.285885) - (xy 93.642634 95.099032) - (xy 93.891007 94.882848) - (xy 94.141366 94.648628) - (xy 94.390948 94.400061) - (xy 94.636991 94.140836) - (xy 94.87673 93.874641) - (xy 95.107403 93.605164) - (xy 95.326247 93.336095) - (xy 95.530498 93.071122) - (xy 95.717394 92.813933) - (xy 95.884171 92.568218) - (xy 96.028066 92.337665) - (xy 96.146316 92.125962) - (xy 96.236159 91.936798) - (xy 96.294829 91.773863) - (xy 96.311612 91.703383) - (xy 96.319566 91.640844) - (xy 96.318345 91.586706) - (xy 96.307605 91.54143) - (xy 96.264441 91.378418) - (xy 96.221593 91.121805) - (xy 96.138989 90.373142) - (xy 96.064068 89.386161) - (xy 96.001109 88.251583) - (xy 95.954389 87.060129) - (xy 95.928186 85.902519) - (xy 95.926777 84.869474) - (xy 95.95444 84.051714) - (xy 96.07471 82.177477) - (xy 97.36716 82.304781) - (xy 98.13507 82.379263) - (xy 98.441266 82.413109) - (xy 98.700599 82.449744) - (xy 98.916803 82.492956) - (xy 99.009898 82.518212) - (xy 99.09361 82.546534) - (xy 99.168406 82.578395) - (xy 99.234753 82.614268) - (xy 99.293117 82.654627) - (xy 99.343964 82.699946) - (xy 99.387763 82.750698) - (xy 99.424978 82.807357) - (xy 99.456076 82.870396) - (xy 99.481525 82.94029) - (xy 99.501791 83.017511) - (xy 99.51734 83.102533) - (xy 99.536155 83.297877) - (xy 99.541702 83.530109) - (xy 99.537716 83.803018) - (xy 99.51607 84.486025) - (xy 99.501811 85.019341) - (xy 99.495778 85.429631) - (xy 99.49953 85.727933) - (xy 99.505563 85.838537) - (xy 99.514626 85.925284) - (xy 99.526915 85.989553) - (xy 99.542625 86.032723) - (xy 99.551823 86.046828) - (xy 99.56195 86.056175) - (xy 99.573029 86.060938) - (xy 99.585085 86.061289) - (xy 99.612224 86.049443) - (xy 99.643564 86.022019) - (xy 99.679299 85.980395) - (xy 99.719623 85.925951) - (xy 99.770963 85.835961) - (xy 99.83738 85.6921) - (xy 100.00736 85.267042) - (xy 100.213404 84.699332) - (xy 100.439353 84.037526) - (xy 100.669049 83.330178) - (xy 100.886332 82.625845) - (xy 101.075044 81.973082) - (xy 101.219027 81.420443) - (xy 101.232451 81.367288) - (xy 101.246658 81.317349) - (xy 101.261814 81.270604) - (xy 101.278084 81.227032) - (xy 101.295634 81.186611) - (xy 101.314631 81.149321) - (xy 101.33524 81.115138) - (xy 101.357628 81.084043) - (xy 101.381959 81.056013) - (xy 101.4084 81.031027) - (xy 101.437117 81.009064) - (xy 101.468275 80.990102) - (xy 101.502041 80.974119) - (xy 101.538581 80.961095) - (xy 101.578059 80.951007) - (xy 101.620643 80.943835) - (xy 101.666497 80.939556) - (xy 101.715788 80.938149) - (xy 101.768682 80.939594) - (xy 101.825345 80.943867) - (xy 101.885942 80.950949) - (xy 101.950639 80.960817) - (xy 102.019603 80.97345) - (xy 102.092998 80.988826) - (xy 102.170992 81.006924) - (xy 102.253749 81.027723) - (xy 102.434219 81.077337) - (xy 102.635734 81.137495) - (xy 102.859622 81.208025) - (xy 103.581471 81.438726) - (xy 103.868146 81.535185) - (xy 104.108644 81.625316) - (xy 104.212356 81.669476) - (xy 104.305459 81.713816) - (xy 104.388264 81.758923) - (xy 104.461082 81.805384) - (xy 104.524226 81.853787) - (xy 104.578006 81.904719) - (xy 104.622735 81.958768) - (xy 104.658725 82.01652) - (xy 104.686285 82.078563) - (xy 104.705729 82.145484) - (xy 104.717368 82.217871) - (xy 104.721513 82.296311) - (xy 104.718476 82.381392) - (xy 104.708568 82.4737) - (xy 104.692102 82.573823) - (xy 104.669388 82.682348) - (xy 104.606464 82.926954) - (xy 104.522289 83.212218) - (xy 104.300158 83.92351) - (xy 104.076968 84.658354) - (xy 103.993442 84.95378) - (xy 103.929762 85.203046) - (xy 103.886777 85.407528) - (xy 103.865337 85.568604) - (xy 103.862962 85.633296) - (xy 103.866292 85.687652) - (xy 103.875434 85.731846) - (xy 103.890492 85.766049) - (xy 103.911574 85.790433) - (xy 103.938786 85.805172) - (xy 103.972234 85.810436) - (xy 104.012025 85.806398) - (xy 104.058263 85.79323) - (xy 104.111056 85.771105) - (xy 104.236732 85.700671) - (xy 104.3899 85.596472) - (xy 104.571411 85.459887) - (xy 105.02286 85.095065) - (xy 105.918216 84.355181) - (xy 106.388476 84.86751) - (xy 106.496975 84.987739) - (xy 106.625318 85.133369) - (xy 106.92254 85.478508) - (xy 107.242155 85.858286) - (xy 107.546176 86.22806) - (xy 108.2336 87.076296) - (xy 106.72333 88.254687) - (xy 106.031007 88.783192) - (xy 105.392025 89.245391) - (xy 105.089314 89.453516) - (xy 104.796071 89.647331) - (xy 104.511007 89.827593) - (xy 104.232833 89.995056) - (xy 103.960259 90.150478) - (xy 103.691998 90.294613) - (xy 103.426759 90.428217) - (xy 103.163254 90.552046) - (xy 102.900193 90.666855) - (xy 102.636288 90.773401) - (xy 102.370249 90.872439) - (xy 102.100787 90.964724) - (xy 101.955978 91.013649) - (xy 101.818902 91.062832) - (xy 101.689432 91.112348) - (xy 101.567439 91.162273) - (xy 101.452795 91.212683) - (xy 101.345371 91.263651) - (xy 101.245039 91.315254) - (xy 101.151671 91.367567) - (xy 101.065138 91.420665) - (xy 100.985313 91.474624) - (xy 100.912065 91.529517) - (xy 100.845269 91.585421) - (xy 100.784794 91.642412) - (xy 100.730512 91.700563) - (xy 100.682296 91.759951) - (xy 100.640017 91.82065) - (xy 100.313962 92.312951) - (xy 99.958065 92.82026) - (xy 99.575318 93.339289) - (xy 99.168711 93.866747) - (xy 98.741234 94.399346) - (xy 98.295878 94.933795) - (xy 97.835635 95.466804) - (xy 97.363494 95.995083) - (xy 96.882446 96.515343) - (xy 96.395482 97.024295) - (xy 95.905593 97.518647) - (xy 95.415769 97.995111) - (xy 94.929002 98.450396) - (xy 94.44828 98.881213) - (xy 93.976596 99.284272) - (xy 93.51694 99.656283) - (xy 93.364132 99.777198) - (xy 93.228349 99.887118) - (xy 93.108601 99.987555) - (xy 93.003899 100.080022) - (xy 92.913256 100.166032) - (xy 92.835682 100.247098) - (xy 92.770188 100.324733) - (xy 92.741662 100.362737) - (xy 92.715786 100.40045) - (xy 92.692435 100.438062) - (xy 92.671487 100.475762) - (xy 92.652817 100.513738) - (xy 92.636302 100.552181) - (xy 92.621819 100.591279) - (xy 92.609244 100.631221) - (xy 92.589322 100.714395) - (xy 92.575548 100.803215) - (xy 92.566934 100.899195) - (xy 92.56249 101.003847) - (xy 92.561229 101.118685) - (xy 92.548214 101.459191) - (xy 92.510172 101.840399) - (xy 92.448603 102.257479) - (xy 92.365007 102.705605) - (xy 92.260885 103.179948) - (xy 92.137739 103.675679) - (xy 91.997068 104.187971) - (xy 91.840373 104.711996) - (xy 91.669155 105.242926) - (xy 91.484915 105.775933) - (xy 91.289154 106.306188) - (xy 91.083372 106.828864) - (xy 90.86907 107.339132) - (xy 90.647749 107.832164) - (xy 90.420909 108.303133) - (xy 90.190051 108.74721) - (xy 89.658061 109.729433) - (xy 90.799319 111.025652) - (xy 91.552256 111.894452) - (xy 92.247519 112.726345) - (xy 92.887901 113.52649) - (xy 93.476195 114.300047) - (xy 94.015193 115.052178) - (xy 94.507688 115.788042) - (xy 94.956473 116.5128) - (xy 95.364341 117.231612) - (xy 95.734083 117.949638) - (xy 96.068493 118.672038) - (xy 96.370364 119.403974) - (xy 96.642488 120.150605) - (xy 96.887657 120.917091) - (xy 97.108665 121.708593) - (xy 97.308304 122.530271) - (xy 97.489367 123.387286) - (xy 97.659586 124.435519) - (xy 97.764545 125.512723) - (xy 97.805707 126.613102) - (xy 97.784533 127.73086) - (xy 97.702485 128.860202) - (xy 97.561026 129.995332) - (xy 97.361618 131.130454) - (xy 97.105722 132.259774) - (xy 96.794801 133.377494) - (xy 96.430316 134.47782) - (xy 96.01373 135.554956) - (xy 95.546505 136.603107) - (xy 95.030102 137.616476) - (xy 94.465984 138.589268) - (xy 93.855613 139.515689) - (xy 93.20045 140.389941) - (xy 92.386005 141.350273) - (xy 91.510226 142.273552) - (xy 90.576227 143.158109) - (xy 89.587122 144.002278) - (xy 88.546024 144.80439) - (xy 87.456048 145.562779) - (xy 86.320308 146.275777) - (xy 85.141916 146.941716) - (xy 83.923988 147.558929) - (xy 82.669636 148.125749) - (xy 81.381976 148.640508) - (xy 80.06412 149.101539) - (xy 78.719182 149.507174) - (xy 77.350277 149.855747) - (xy 75.960518 150.145589) - (xy 74.553019 150.375033) - (xy 73.862872 150.459306) - (xy 73.131633 150.525975) - (xy 72.383923 150.574372) - (xy 71.644361 150.603827) - (xy 70.93757 150.613671) - (xy 70.288169 150.603235) - (xy 69.720779 150.57185) - (xy 69.475532 150.548093) - (xy 69.26002 150.518847) - (xy 69.260051 150.518832) - (xy 67.831835 150.262486) - (xy 66.416949 149.953785) - (xy 65.016193 149.592984) - (xy 63.630368 149.180338) - (xy 62.260274 148.716103) - (xy 60.90671 148.200532) - (xy 59.570477 147.633881) - (xy 58.252375 147.016405) - (xy 57.283074 146.528505) - (xy 56.847829 146.298277) - (xy 56.438641 146.072324) - (xy 56.050351 145.846994) - (xy 55.6778 145.618633) - (xy 55.315827 145.383588) - (xy 54.959273 145.138205) - (xy 54.602979 144.87883) - (xy 54.241783 144.601811) - (xy 53.870528 144.303492) - (xy 53.484054 143.980222) - (xy 52.644807 143.244211) - (xy 51.682765 142.36455) - (xy 51.097036 141.804159) - (xy 50.54538 141.238954) - (xy 50.025658 140.665073) - (xy 49.535731 140.078649) - (xy 49.07346 139.475819) - (xy 48.636705 138.852719) - (xy 48.223328 138.205483) - (xy 47.831189 137.530249) - (xy 47.458149 136.823151) - (xy 47.10207 136.080325) - (xy 46.760812 135.297907) - (xy 46.432236 134.472032) - (xy 46.114202 133.598836) - (xy 45.804573 132.674455) - (xy 45.501208 131.695024) - (xy 45.201968 130.656679) - (xy 45.078569 130.147371) - (xy 44.978794 129.594224) - (xy 44.90231 129.00242) - (xy 44.848785 128.377144) - (xy 44.817886 127.723579) - (xy 44.809281 127.046907) - (xy 44.822637 126.352311) - (xy 44.857621 125.644974) - (xy 44.913901 124.93008) - (xy 44.991145 124.212811) - (xy 45.089019 123.498351) - (xy 45.207191 122.791883) - (xy 45.345328 122.098589) - (xy 45.503099 121.423652) - (xy 45.68017 120.772256) - (xy 45.876208 120.149584) - (xy 46.005143 119.787909) - (xy 46.154924 119.401263) - (xy 46.508073 118.570286) - (xy 46.917749 117.69111) - (xy 47.366047 116.79819) - (xy 47.83506 115.92598) - (xy 48.306883 115.108937) - (xy 48.76361 114.381515) - (xy 48.980717 114.062179) - (xy 49.187335 113.77817) - (xy 49.387433 113.519297) - (xy 49.599256 113.255538) - (xy 50.056372 112.715109) - (xy 50.555279 112.160374) - (xy 51.092575 111.594824) - (xy 51.664855 111.021952) - (xy 52.268718 110.445248) - (xy 52.900758 109.868205) - (xy 53.557574 109.294314) - (xy 54.329783 108.634142) - (xy 53.616031 107.110232) - (xy 53.390001 106.6154) - (xy 53.179365 106.128819) - (xy 52.984012 105.650049) - (xy 52.803829 105.178652) - (xy 52.638704 104.71419) - (xy 52.488525 104.256224) - (xy 52.353181 103.804315) - (xy 52.232559 103.358025) - (xy 52.126547 102.916915) - (xy 52.035034 102.480548) - (xy 51.957906 102.048484) - (xy 51.895053 101.620284) - (xy 51.846363 101.195511) - (xy 51.811722 100.773726) - (xy 51.79102 100.35449) - (xy 51.784144 99.937365) - (xy 51.780657 99.620612) - (xy 51.776007 99.482669) - (xy 51.769161 99.357285) - (xy 51.759927 99.243666) - (xy 51.748111 99.141014) - (xy 51.733519 99.048534) - (xy 51.715958 98.96543) - (xy 51.695233 98.890904) - (xy 51.671153 98.824161) - (xy 51.643523 98.764406) - (xy 51.612149 98.71084) - (xy 51.576839 98.662669) - (xy 51.537399 98.619096) - (xy 51.493635 98.579325) - (xy 51.445353 98.542559) - (xy 51.149902 98.324092) - (xy 50.839064 98.073347) - (xy 50.514896 97.792628) - (xy 50.179453 97.484238) - (xy 49.834791 97.150482) - (xy 49.482966 96.793665) - (xy 49.126033 96.41609) - (xy 48.766047 96.020062) - (xy 48.405065 95.607885) - (xy 48.045142 95.181864) - (xy 47.688333 94.744302) - (xy 47.336694 94.297504) - (xy 46.992281 93.843774) - (xy 46.657149 93.385417) - (xy 46.333354 92.924737) - (xy 46.022952 92.464037) - (xy 45.611719 91.844971) - (xy 45.279782 91.362091) - (xy 45.137757 91.165551) - (xy 45.008606 90.995655) - (xy 44.89001 90.849933) - (xy 44.779654 90.725918) - (xy 44.675221 90.621143) - (xy 44.574392 90.533138) - (xy 44.474852 90.459437) - (xy 44.374284 90.397571) - (xy 44.27037 90.345073) - (xy 44.160793 90.299474) - (xy 44.043237 90.258307) - (xy 43.915385 90.219103) - (xy 43.593888 90.117525) - (xy 43.255864 89.996009) - (xy 42.903268 89.855657) - (xy 42.538058 89.697573) - (xy 42.162189 89.522858) - (xy 41.777618 89.332616) - (xy 41.386301 89.127951) - (xy 40.990194 88.909964) - (xy 40.591254 88.679759) - (xy 40.191438 88.438438) - (xy 39.792701 88.187105) - (xy 39.396999 87.926862) - (xy 39.00629 87.658812) - (xy 38.622529 87.384058) - (xy 38.247673 87.103703) - (xy 37.883677 86.81885) - (xy 37.49456 86.502783) - (xy 37.131548 86.199554) - (xy 36.802586 85.916398) - (xy 36.515619 85.660548) - (xy 36.278593 85.439239) - (xy 36.099452 85.259705) - (xy 36.034071 85.187865) - (xy 35.986141 85.129182) - (xy 35.956654 85.08456) - (xy 35.946605 85.054903) - (xy 35.948192 85.042433) - (xy 35.952903 85.026953) - (xy 35.971384 84.987332) - (xy 36.001425 84.936776) - (xy 36.042403 84.876021) - (xy 36.093695 84.805804) - (xy 36.154681 84.726859) - (xy 36.224736 84.639924) - (xy 36.303239 84.545735) - (xy 36.389567 84.445026) - (xy 36.483097 84.338536) - (xy 36.689276 84.11115) - (xy 36.916796 83.869466) - (xy 37.037003 83.745102) - (xy 37.160678 83.619372) - (xy 38.374759 82.395922) - (xy 39.365809 83.301684) - (xy 39.814301 83.698656) - (xy 40.266196 84.075272) - (xy 40.704205 84.419302) - (xy 41.111041 84.718514) - (xy 41.469414 84.960676) - (xy 41.625024 85.056541) - (xy 41.762035 85.133557) - (xy 41.878286 85.190195) - (xy 41.971615 85.224926) - (xy 42.039863 85.236222) - (xy 42.063905 85.232603) - (xy 42.080867 85.222552) - (xy 42.085566 85.215268) - (xy 42.089233 85.203934) - (xy 42.093537 85.169549) - (xy 42.093921 85.120268) - (xy 42.090523 85.056964) - (xy 42.083485 84.98051) - (xy 42.072948 84.891778) - (xy 42.059051 84.79164) - (xy 42.041937 84.68097) - (xy 41.998614 84.43152) - (xy 41.944105 84.150408) - (xy 41.879534 83.844615) - (xy 41.806025 83.52112) - (xy 41.578977 82.541169) - (xy 41.399614 81.732306) - (xy 41.265507 81.079136) - (xy 41.174225 80.56626) - (xy 41.123338 80.17828) - (xy 41.112283 80.026315) - (xy 41.110416 79.899799) - (xy 41.117432 79.796809) - (xy 41.133029 79.71542) - (xy 41.156901 79.653706) - (xy 41.188746 79.609743) - (xy 41.213078 79.592846) - (xy 41.252556 79.575085) - (xy 41.372962 79.537437) - (xy 41.541984 79.497733) - (xy 41.751641 79.456905) - (xy 42.26094 79.37561) - (xy 42.837013 79.301016) - (xy 43.416018 79.240586) - (xy 43.934111 79.201782) - (xy 44.150364 79.192822) - (xy 44.327447 79.192067) - (xy 44.45738 79.20045) - (xy 44.532183 79.218905) - (xy 44.538527 79.223215) - (xy 44.545097 79.229471) - (xy 44.551878 79.23762) - (xy 44.558855 79.247608) - (xy 44.573336 79.272888) - (xy 44.588416 79.304879) - (xy 44.603974 79.343154) - (xy 44.619887 79.387282) - (xy 44.636031 79.436835) - (xy 44.652284 79.491383) - (xy 44.668525 79.550496) - (xy 44.684629 79.613746) - (xy 44.700475 79.680703) - (xy 44.715939 79.750937) - (xy 44.7309 79.82402) - (xy 44.745234 79.899521) - (xy 44.75882 79.977012) - (xy 44.771533 80.056063) - (xy 44.946351 81.130774) - (xy 45.025944 81.564983) - (xy 45.101061 81.931234) - (xy 45.172253 82.230134) - (xy 45.24007 82.462288) - (xy 45.305063 82.628302) - (xy 45.336673 82.686696) - (xy 45.367783 82.728781) - (xy 45.398463 82.754634) - (xy 45.428781 82.764331) - (xy 45.458807 82.757947) - (xy 45.488608 82.735557) - (xy 45.518254 82.697238) - (xy 45.547814 82.643066) - (xy 45.606951 82.487462) - (xy 45.666568 82.269351) - (xy 45.727218 81.98934) - (xy 45.78945 81.648032) - (xy 45.853816 81.246035) - (xy 46.055377 79.918581) - (xy 47.417926 79.96628) - (xy 48.109143 79.993687) - (xy 48.392021 80.009194) - (xy 48.636741 80.027435) - (xy 48.846051 80.049559) - (xy 49.022696 80.076715) - (xy 49.169424 80.110051) - (xy 49.288982 80.150717) - (xy 49.339431 80.174158) - (xy 49.384117 80.199861) - (xy 49.423385 80.227972) - (xy 49.457577 80.258632) - (xy 49.487036 80.291987) - (xy 49.512107 80.32818) - (xy 49.533132 80.367353) - (xy 49.550455 80.409652) - (xy 49.575367 80.504197) - (xy 49.589592 80.612965) - (xy 49.595876 80.737105) - (xy 49.596965 80.877764) - (xy 49.590289 81.099803) - (xy 49.571905 81.336737) - (xy 49.542029 81.587807) - (xy 49.500879 81.852253) - (xy 49.448672 82.129318) - (xy 49.385623 82.418243) - (xy 49.311951 82.718269) - (xy 49.227873 83.028637) - (xy 49.133604 83.34859) - (xy 49.029362 83.677367) - (xy 48.915364 84.014212) - (xy 48.791827 84.358364) - (xy 48.658967 84.709065) - (xy 48.517002 85.065558) - (xy 48.366148 85.427082) - (xy 48.206622 85.792879) - (xy 47.949769 86.374791) - (xy 47.755997 86.832451) - (xy 47.68104 87.021721) - (xy 47.619784 87.188367) - (xy 47.571537 87.335205) - (xy 47.535609 87.465048) - (xy 47.511311 87.580709) - (xy 47.497952 87.685002) - (xy 47.494842 87.78074) - (xy 47.501291 87.870737) - (xy 47.516609 87.957807) - (xy 47.540106 88.044763) - (xy 47.571091 88.134418) - (xy 47.608874 88.229586) - (xy 47.761079 88.551834) - (xy 47.975096 88.939463) - (xy 48.241913 89.380584) - (xy 48.55252 89.863307) - (xy 49.269066 90.905997) - (xy 50.052651 91.972411) - (xy 50.831193 92.967426) - (xy 51.196047 93.408433) - (xy 51.532609 93.795921) - (xy 51.831869 94.117998) - (xy 52.084818 94.362774) - (xy 52.282443 94.518359) - (xy 52.357694 94.558989) - (xy 52.415736 94.572863) - (xy 52.426811 94.571375) - (xy 52.439084 94.56696) - (xy 52.452509 94.559691) - (xy 52.467041 94.549641) - (xy 52.482633 94.536883) - (xy 52.499239 94.521489) - (xy 52.516813 94.503532) - (xy 52.535309 94.483086) - (xy 52.574881 94.435018) - (xy 52.617586 94.377866) - (xy 52.663055 94.312214) - (xy 52.710918 94.238646) - (xy 52.760808 94.157745) - (xy 52.812354 94.070093) - (xy 52.865187 93.976276) - (xy 52.918939 93.876875) - (xy 52.97324 93.772474) - (xy 53.02772 93.663657) - (xy 53.082012 93.551007) - (xy 53.135745 93.435107) - (xy 53.405424 92.869327) - (xy 53.69623 92.311615) - (xy 54.007442 91.76282) - (xy 54.338342 91.223792) - (xy 54.688208 90.695381) - (xy 55.05632 90.178436) - (xy 55.441958 89.673808) - (xy 55.844402 89.182347) - (xy 56.262932 88.704901) - (xy 56.696828 88.242322) - (xy 57.145369 87.795459) - (xy 57.607836 87.365163) - (xy 58.083508 86.952281) - (xy 58.571665 86.557666) - (xy 59.071586 86.182166) - (xy 59.582553 85.826632) - (xy 59.914962 85.598282) - (xy 60.214172 85.3804) - (xy 60.477869 85.17504) - (xy 60.595674 85.077698) - (xy 60.703732 84.984257) - (xy 60.801753 84.894974) - (xy 60.889447 84.810106) - (xy 60.966524 84.72991) - (xy 61.032694 84.654642) - (xy 61.087669 84.584559) - (xy 61.131158 84.519919) - (xy 61.162872 84.460977) - (xy 61.182521 84.407992) - (xy 61.189444 84.378526) - (xy 61.194656 84.347449) - (xy 61.198136 84.314702) - (xy 61.199864 84.280227) - (xy 61.197977 84.205863) - (xy 61.188829 84.123892) - (xy 61.172254 84.033852) - (xy 61.148084 83.935279) - (xy 61.116154 83.82771) - (xy 61.076297 83.71068) - (xy 61.028345 83.583727) - (xy 60.972134 83.446388) - (xy 60.907495 83.298197) - (xy 60.834263 83.138693) - (xy 60.75227 82.967412) - (xy 60.661351 82.783889) - (xy 60.561339 82.587662) - (xy 60.452067 82.378268) - (xy 60.237971 81.961359) - (xy 60.041673 81.555601) - (xy 59.862568 81.158484) - (xy 59.700049 80.767498) - (xy 59.553508 80.380135) - (xy 59.422339 79.993885) - (xy 59.305935 79.60624) - (xy 59.203689 79.21469) - (xy 59.114995 78.816725) - (xy 59.039245 78.409838) - (xy 58.975833 77.991518) - (xy 58.924151 77.559256) - (xy 58.883594 77.110544) - (xy 58.853553 76.642872) - (xy 58.833423 76.153731) - (xy 58.822596 75.640612) - (xy 58.82378 75.019765) - (xy 58.843433 74.425285) - (xy 58.882591 73.854032) - (xy 58.942291 73.302869) - (xy 59.023569 72.768658) - (xy 59.127459 72.248262) - (xy 59.255 71.738542) - (xy 59.407225 71.236361) - (xy 59.585172 70.73858) - (xy 59.789877 70.242062) - (xy 60.022374 69.743669) - (xy 60.283701 69.240263) - (xy 60.574893 68.728705) - (xy 60.896986 68.205859) - (xy 61.251015 67.668586) - (xy 61.638018 67.113749) - (xy 61.84244 66.825076) - (xy 62.020375 66.566593) - (xy 62.17201 66.336298) - (xy 62.29753 66.132187) - (xy 62.397121 65.95226) - (xy 62.470968 65.794512) - (xy 62.498296 65.72333) - (xy 62.519258 65.656942) - (xy 62.533877 65.595098) - (xy 62.542176 65.537547) - (xy 62.544179 65.484039) - (xy 62.539908 65.434323) - (xy 62.529387 65.388151) - (xy 62.512639 65.34527) - (xy 62.489688 65.305431) - (xy 62.460556 65.268384) - (xy 62.425267 65.233878) - (xy 62.383844 65.201662) - (xy 62.33631 65.171487) - (xy 62.282689 65.143103) - (xy 62.157276 65.090703) - (xy 62.007792 65.042459) - (xy 61.834422 64.996371) - (xy 61.657986 64.943069) - (xy 61.445562 64.862593) - (xy 61.203024 64.758325) - (xy 60.93625 64.633653) - (xy 60.353499 64.336631) - (xy 59.744319 63.998608) - (xy 59.155721 63.646664) - (xy 58.634716 63.307879) - (xy 58.414252 63.151884) - (xy 58.228316 63.009334) - (xy 58.082783 62.883614) - (xy 57.983531 62.778109) - (xy 57.869943 62.622242) - (xy 57.777351 62.470362) - (xy 57.705558 62.322559) - (xy 57.654369 62.178917) - (xy 57.623588 62.039526) - (xy 57.613018 61.904471) - (xy 57.622463 61.773839) - (xy 57.651728 61.647718) - (xy 57.700616 61.526195) - (xy 57.768931 61.409356) - (xy 57.856477 61.297289) - (xy 57.963058 61.190081) - (xy 58.088479 61.087819) - (xy 58.232542 60.99059) - (xy 58.395052 60.89848) - (xy 58.575812 60.811578) - (xy 58.774627 60.72997) - (xy 58.991301 60.653743) - (xy 59.225638 60.582984) - (xy 59.477441 60.51778) - (xy 59.746514 60.458218) - (xy 60.032661 60.404385) - (xy 60.335687 60.356369) - (xy 60.655395 60.314256) - (xy 60.991589 60.278134) - (xy 61.344073 60.248089) - (xy 61.712651 60.224208) - (xy 62.097127 60.20658) - (xy 62.497305 60.195289) - (xy 62.912988 60.190424) - (xy 63.343981 60.192072) - (xy 63.790088 60.20032) - (xy 66.056857 60.258219) - (xy 66.273486 58.80189) - (xy 66.542465 56.891029) - (xy 66.884944 54.341891) - (xy 67.190183 52.053843) - (xy 67.248082 51.679802) - (xy 67.303557 51.37005) - (xy 67.359078 51.117137) - (xy 67.417116 50.913616) - (xy 67.48014 50.752035) - (xy 67.55062 50.624945) - (xy 67.631027 50.524898) - (xy 67.72383 50.444442) - (xy 67.831501 50.37613) - (xy 67.956508 50.312512) - (xy 68.268412 50.169558) - (xy 69.100734 49.778315) + (footprint "lib:R0603" (layer "F.Cu") + (tstamp 2b93cc46-ace8-4d41-8f9c-4b3a69e60d51) + (at 155.175 91) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/166dbee5-ee4d-11b0-0b6d-caf19e1c048f/166dbee5-ee4d-11b0-0b6d-caf19e1c048f") + (attr smd) + (fp_text reference "R1" (at 2.425 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 62eb40fc-7b65-45ef-bb7f-46aa9d600245) ) - - (stroke (width 0) (type solid)) (fill none) (layer "Edge.Cuts") (tstamp 12603b1a-816f-4263-bdfe-ff7600c93d66)) - (gr_arc (start 261.62 113.79) (mid 260.448427 116.618427) (end 257.62 117.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 178364bc-250e-4a39-b0ba-4262bbac4b46)) - (gr_arc (start 225.62 117.79) (mid 222.791573 116.618427) (end 221.62 113.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 3d8baf97-c836-4397-867c-23e52ff05ce1)) - (gr_line (start 261.62 113.79) (end 261.62 81.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 871dfad9-a85d-42ce-9d2d-6520fae7f4c4)) - (gr_circle (center 161.229974 58.946031) (end 163.229974 58.946031) - (stroke (width 0.2) (type default)) (fill none) (layer "Edge.Cuts") (tstamp 87ed6cf3-1ec4-474a-abf8-50c17e80d36f)) - (gr_line (start 221.62 81.79) (end 221.62 113.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 8baef1cd-8e58-474a-b843-199aa0080763)) - (gr_arc (start 257.62 77.79) (mid 260.448427 78.961573) (end 261.62 81.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp a7e36be5-cc10-4aad-836f-bde309666136)) - (gr_poly - (pts - (xy 161.429183 54.643361) - (xy 161.588699 54.648976) - (xy 161.743405 54.660128) - (xy 161.8913 54.67685) - (xy 162.030385 54.699174) - (xy 162.158659 54.727132) - (xy 162.274122 54.760758) - (xy 162.374774 54.800082) - (xy 162.418214 54.822065) - (xy 162.465501 54.850416) - (xy 162.517128 54.885713) - (xy 162.573587 54.928531) - (xy 162.702967 55.039035) - (xy 162.857578 55.186538) - (xy 163.041357 55.37565) - (xy 163.258241 55.610981) - (xy 163.512165 55.897139) - (xy 163.807067 56.238735) - (xy 164.146884 56.640378) - (xy 164.53555 57.106678) - (xy 164.977005 57.642244) - (xy 165.475183 58.251687) - (xy 166.657456 59.710639) - (xy 168.113864 61.520411) - (xy 169.490282 63.25197) - (xy 170.562092 64.650979) - (xy 170.993296 65.243203) - (xy 171.359779 65.7732) - (xy 171.665351 66.247941) - (xy 171.913823 66.674396) - (xy 172.109006 67.059535) - (xy 172.254708 67.41033) - (xy 172.354741 67.733749) - (xy 172.412915 68.036764) - (xy 172.433041 68.326344) - (xy 172.418927 68.609461) - (xy 172.374385 68.893084) - (xy 172.303226 69.184183) - (xy 172.274597 69.278158) - (xy 172.242 69.369887) - (xy 172.205507 69.459324) - (xy 172.165188 69.546423) - (xy 172.121114 69.631137) - (xy 172.073357 69.713419) - (xy 172.021987 69.793223) - (xy 171.967076 69.870502) - (xy 171.908694 69.945208) - (xy 171.846914 70.017296) - (xy 171.781806 70.08672) - (xy 171.71344 70.153431) - (xy 171.641889 70.217383) - (xy 171.567223 70.278531) - (xy 171.489513 70.336826) - (xy 171.408831 70.392223) - (xy 171.325247 70.444674) - (xy 171.238833 70.494134) - (xy 171.14966 70.540554) - (xy 171.057799 70.58389) - (xy 170.96332 70.624093) - (xy 170.866295 70.661118) - (xy 170.766796 70.694917) - (xy 170.664893 70.725444) - (xy 170.560657 70.752652) - (xy 170.45416 70.776495) - (xy 170.345472 70.796926) - (xy 170.234664 70.813898) - (xy 170.121809 70.827364) - (xy 170.006976 70.837278) - (xy 169.890237 70.843593) - (xy 169.771663 70.846262) - (xy 169.726607 70.847177) - (xy 169.681188 70.849133) - (xy 169.590479 70.855957) - (xy 169.501974 70.8663) - (xy 169.418107 70.879728) - (xy 169.378675 70.887463) - (xy 169.341315 70.895806) - (xy 169.306334 70.904703) - (xy 169.274034 70.9141) - (xy 169.244721 70.923942) - (xy 169.218699 70.934176) - (xy 169.196272 70.944746) - (xy 169.177745 70.955599) - (xy 169.171643 70.965792) - (xy 169.172154 70.983757) - (xy 169.17922 71.009417) - (xy 169.192785 71.042693) - (xy 169.239181 71.131786) - (xy 169.310885 71.250417) - (xy 169.407439 71.397965) - (xy 169.528385 71.573811) - (xy 169.673265 71.777334) - (xy 169.841623 72.007915) - (xy 170.246938 72.547768) - (xy 170.740668 73.18841) - (xy 171.319154 73.924882) - (xy 171.978732 74.752222) - (xy 174.641332 78.093405) - (xy 175.537111 79.246786) - (xy 176.200008 80.134787) - (xy 176.673118 80.816979) - (xy 176.99954 81.352933) - (xy 177.222371 81.802218) - (xy 177.384708 82.224406) - (xy 177.462833 82.472098) - (xy 177.531331 82.72855) - (xy 177.59016 82.991701) - (xy 177.639284 83.259489) - (xy 177.678662 83.529854) - (xy 177.708257 83.800735) - (xy 177.728028 84.070071) - (xy 177.737937 84.335802) - (xy 177.737946 84.595867) - (xy 177.728014 84.848205) - (xy 177.708104 85.090755) - (xy 177.678176 85.321456) - (xy 177.638191 85.538248) - (xy 177.588111 85.739069) - (xy 177.527896 85.92186) - (xy 177.457507 86.084559) - (xy 177.391656 86.207668) - (xy 177.318059 86.327563) - (xy 177.236853 86.444134) - (xy 177.148173 86.557272) - (xy 177.052156 86.666869) - (xy 176.948938 86.772814) - (xy 176.838657 86.874999) - (xy 176.721448 86.973315) - (xy 176.597448 87.067652) - (xy 176.466794 87.157903) - (xy 176.32962 87.243956) - (xy 176.186065 87.325705) - (xy 176.036265 87.403039) - (xy 175.880355 87.475849) - (xy 175.718473 87.544027) - (xy 175.550754 87.607462) - (xy 175.482045 87.632835) - (xy 175.415483 87.658969) - (xy 175.351405 87.685667) - (xy 175.290146 87.712731) - (xy 175.23204 87.739963) - (xy 175.177423 87.767164) - (xy 175.126631 87.794136) - (xy 175.079997 87.820681) - (xy 175.037859 87.846601) - (xy 175.00055 87.871698) - (xy 174.968407 87.895773) - (xy 174.941764 87.918629) - (xy 174.920956 87.940066) - (xy 174.90632 87.959888) - (xy 174.898189 87.977896) - (xy 174.896668 87.986157) - (xy 174.8969 87.993891) - (xy 174.922027 88.037315) - (xy 174.991394 88.135797) - (xy 175.25375 88.486297) - (xy 176.209258 89.720013) - (xy 177.617844 91.508896) - (xy 179.333926 93.666804) - (xy 181.092323 95.879526) - (xy 182.616098 97.817656) - (xy 183.742073 99.272256) - (xy 184.307071 100.034388) - (xy 184.515284 100.360502) - (xy 184.7117 100.694247) - (xy 184.896111 101.034954) - (xy 185.068309 101.381957) - (xy 185.228084 101.734588) - (xy 185.375229 102.092181) - (xy 185.509535 102.454068) - (xy 185.630794 102.819582) - (xy 185.738797 103.188056) - (xy 185.833335 103.558822) - (xy 185.914201 103.931214) - (xy 185.981186 104.304563) - (xy 186.034081 104.678204) - (xy 186.072678 105.051468) - (xy 186.096768 105.423689) - (xy 186.106143 105.794199) - (xy 186.105091 106.172735) - (xy 186.095928 106.491761) - (xy 186.087599 106.633067) - (xy 186.076394 106.764426) - (xy 186.062032 106.887483) - (xy 186.044229 107.003882) - (xy 186.022703 107.115265) - (xy 185.997171 107.223277) - (xy 185.967351 107.329562) - (xy 185.932961 107.435763) - (xy 185.893716 107.543524) - (xy 185.849336 107.654488) - (xy 185.744037 107.892603) - (xy 185.654537 108.079442) - (xy 185.563285 108.257402) - (xy 185.469989 108.426825) - (xy 185.374358 108.588053) - (xy 185.276102 108.741425) - (xy 185.174928 108.887283) - (xy 185.070547 109.025968) - (xy 184.962667 109.15782) - (xy 184.850997 109.283181) - (xy 184.735246 109.402392) - (xy 184.615122 109.515794) - (xy 184.490336 109.623727) - (xy 184.360596 109.726532) - (xy 184.22561 109.824551) - (xy 184.085088 109.918125) - (xy 183.938739 110.007593) - (xy 183.810996 110.084401) - (xy 183.691836 110.159793) - (xy 183.583867 110.231854) - (xy 183.489696 110.298666) - (xy 183.41193 110.358313) - (xy 183.380014 110.384851) - (xy 183.353176 110.408878) - (xy 183.331744 110.430155) - (xy 183.316042 110.448443) - (xy 183.306397 110.463502) - (xy 183.303947 110.469746) - (xy 183.303134 110.475092) - (xy 183.318272 110.503511) - (xy 183.362609 110.567965) - (xy 183.532916 110.797359) - (xy 183.802115 111.148045) - (xy 184.158269 111.604794) - (xy 185.08369 112.775559) - (xy 186.213672 114.187815) - (xy 189.362188 118.120691) - (xy 190.402126 119.447898) - (xy 191.169656 120.462983) - (xy 191.727633 121.251467) - (xy 192.13891 121.898876) - (xy 192.466342 122.490732) - (xy 192.772785 123.112559) - (xy 193.051147 123.726705) - (xy 193.303988 124.346147) - (xy 193.531154 124.969731) - (xy 193.732492 125.596305) - (xy 193.907848 126.224716) - (xy 194.05707 126.853811) - (xy 194.180003 127.482437) - (xy 194.276494 128.109442) - (xy 194.346391 128.733673) - (xy 194.389538 129.353977) - (xy 194.405784 129.969202) - (xy 194.394974 130.578194) - (xy 194.356955 131.1798) - (xy 194.291575 131.772869) - (xy 194.198678 132.356247) - (xy 194.078113 132.928782) - (xy 193.929544 133.476781) - (xy 193.74781 134.009233) - (xy 193.533455 134.525547) - (xy 193.287022 135.025131) - (xy 193.009057 135.507395) - (xy 192.700103 135.971748) - (xy 192.360704 136.4176) - (xy 191.991405 136.844359) - (xy 191.592749 137.251434) - (xy 191.165282 137.638235) - (xy 190.709547 138.004171) - (xy 190.226087 138.348651) - (xy 189.715449 138.671084) - (xy 189.178175 138.970879) - (xy 188.61481 139.247446) - (xy 188.025897 139.500193) - (xy 187.387382 139.730873) - (xy 186.62691 139.962173) - (xy 185.752713 140.192844) - (xy 184.773022 140.421641) - (xy 182.530085 140.868622) - (xy 179.96395 141.293139) - (xy 177.140466 141.685214) - (xy 174.125485 142.034872) - (xy 170.984858 142.332135) - (xy 167.784435 142.567026) - (xy 166.231372 142.664027) - (xy 166.19247 145.936793) - (xy 166.153667 149.209559) - (xy 161.14812 149.247553) - (xy 156.142551 149.285548) - (xy 156.211231 145.955256) - (xy 156.23825 144.264273) - (xy 156.238691 143.682086) - (xy 156.22939 143.24944) - (xy 156.209575 142.946836) - (xy 156.195482 142.838207) - (xy 156.178471 142.754778) - (xy 156.158445 142.69411) - (xy 156.135307 142.653767) - (xy 156.108961 142.631312) - (xy 156.079311 142.624308) - (xy 155.214992 142.57575) - (xy 153.588337 142.458798) - (xy 151.746032 142.31468) - (xy 150.23476 142.184626) - (xy 147.951424 141.950142) - (xy 145.645248 141.676286) - (xy 143.382545 141.373503) - (xy 141.229626 141.052239) - (xy 139.252805 140.722941) - (xy 137.518395 140.396054) - (xy 136.092708 140.082025) - (xy 135.042056 139.7913) - (xy 134.381421 139.556594) - (xy 133.752014 139.295735) - (xy 133.153989 139.00888) - (xy 132.587501 138.696185) - (xy 132.052705 138.357807) - (xy 131.549756 137.993902) - (xy 131.078809 137.604627) - (xy 130.64002 137.190138) - (xy 130.233542 136.750592) - (xy 129.859531 136.286146) - (xy 129.518141 135.796955) - (xy 129.209529 135.283176) - (xy 128.933847 134.744967) - (xy 128.691252 134.182482) - (xy 128.481899 133.59588) - (xy 128.305942 132.985316) - (xy 128.169056 132.349397) - (xy 128.072975 131.677754) - (xy 128.016707 130.975694) - (xy 127.999256 130.248525) - (xy 128.019631 129.501555) - (xy 128.076838 128.740093) - (xy 128.169883 127.969445) - (xy 128.297773 127.19492) - (xy 128.459515 126.421826) - (xy 128.654115 125.65547) - (xy 128.880581 124.901161) - (xy 129.137918 124.164206) - (xy 129.425134 123.449914) - (xy 129.741235 122.763591) - (xy 130.085228 122.110547) - (xy 130.456119 121.496088) - (xy 130.678839 121.176405) - (xy 131.044408 120.681658) - (xy 131.533542 120.036727) - (xy 132.126955 119.266491) - (xy 133.549488 117.449628) - (xy 135.157733 115.430109) - (xy 139.156955 110.449519) - (xy 138.361812 109.926661) - (xy 138.191303 109.808793) - (xy 138.024907 109.682349) - (xy 137.863136 109.547997) - (xy 137.706502 109.406407) - (xy 137.555518 109.258246) - (xy 137.410696 109.104183) - (xy 137.27255 108.944887) - (xy 137.141591 108.781027) - (xy 137.018333 108.613271) - (xy 136.903287 108.442289) - (xy 136.796967 108.268748) - (xy 136.699885 108.093317) - (xy 136.612554 107.916666) - (xy 136.535485 107.739462) - (xy 136.469192 107.562375) - (xy 136.414188 107.386072) - (xy 136.393025 107.303561) - (xy 136.373683 107.213821) - (xy 136.356172 107.117074) - (xy 136.340506 107.013541) - (xy 136.326696 106.903442) - (xy 136.314755 106.786999) - (xy 136.296527 106.535963) - (xy 136.28592 106.262201) - (xy 136.28303 105.96748) - (xy 136.287955 105.653567) - (xy 136.300792 105.32223) - (xy 136.322244 104.998512) - (xy 136.354436 104.675622) - (xy 136.397314 104.353731) - (xy 136.450821 104.033012) - (xy 136.514903 103.713637) - (xy 136.589505 103.395777) - (xy 136.67457 103.079605) - (xy 136.770045 102.765293) - (xy 136.875873 102.453014) - (xy 136.991999 102.142939) - (xy 137.118369 101.83524) - (xy 137.254926 101.53009) - (xy 137.401615 101.227661) - (xy 137.558383 100.928125) - (xy 137.725172 100.631653) - (xy 137.901927 100.338419) - (xy 138.126212 100.011097) - (xy 138.51292 99.483877) - (xy 139.040899 98.784161) - (xy 139.688993 97.939352) - (xy 141.260913 95.92407) - (xy 143.059451 93.657252) - (xy 146.236175 89.672407) - (xy 147.202546 88.449633) - (xy 147.557468 87.987986) - (xy 147.556054 87.984938) - (xy 147.551858 87.980582) - (xy 147.535398 87.968089) - (xy 147.508643 87.9508) - (xy 147.472146 87.929003) - (xy 147.372145 87.873051) - (xy 147.239832 87.802557) - (xy 147.079641 87.719846) - (xy 146.896006 87.62724) - (xy 146.693363 87.527063) - (xy 146.476146 87.421641) - (xy 146.164445 87.267635) - (xy 146.029652 87.197126) - (xy 145.907363 87.129704) - (xy 145.796428 87.064435) - (xy 145.695696 87.000386) - (xy 145.604016 86.936623) - (xy 145.520238 86.872216) - (xy 145.443212 86.806229) - (xy 145.371787 86.737731) - (xy 145.304812 86.665788) - (xy 145.241137 86.589467) - (xy 145.179611 86.507836) - (xy 145.119084 86.419962) - (xy 145.058406 86.324911) - (xy 144.996425 86.221751) - (xy 144.936178 86.116882) - (xy 144.882127 86.017884) - (xy 144.833953 85.923336) - (xy 144.791331 85.831816) - (xy 144.753942 85.741904) - (xy 144.721463 85.652177) - (xy 144.693573 85.561215) - (xy 144.669949 85.467596) - (xy 144.65027 85.3699) - (xy 144.634215 85.266704) - (xy 144.621462 85.156587) - (xy 144.611688 85.038128) - (xy 144.604572 84.909906) - (xy 144.599793 84.7705) - (xy 144.597029 84.618488) - (xy 144.595958 84.452448) - (xy 144.599628 84.195279) - (xy 144.611925 83.944089) - (xy 144.633095 83.698112) - (xy 144.663381 83.456583) - (xy 144.703029 83.218738) - (xy 144.752282 82.983811) - (xy 144.811387 82.751037) - (xy 144.880586 82.519652) - (xy 144.960125 82.28889) - (xy 145.050249 82.057986) - (xy 145.151202 81.826176) - (xy 145.26323 81.592694) - (xy 145.386575 81.356776) - (xy 145.521483 81.117655) - (xy 145.6682 80.874568) - (xy 145.826969 80.626749) - (xy 146.032392 80.333611) - (xy 146.358724 79.892071) - (xy 147.30702 78.650511) - (xy 148.537667 77.075522) - (xy 149.916477 75.340556) - (xy 151.237226 73.678901) - (xy 152.309769 72.303534) - (xy 153.024068 71.357417) - (xy 153.212489 71.090004) - (xy 153.258499 71.015527) - (xy 153.270084 70.983515) - (xy 153.265966 70.978423) - (xy 153.259854 70.973183) - (xy 153.251803 70.967809) - (xy 153.241867 70.96231) - (xy 153.216558 70.950987) - (xy 153.184364 70.939307) - (xy 153.14572 70.927361) - (xy 153.101062 70.915241) - (xy 153.050827 70.903038) - (xy 152.995449 70.890845) - (xy 152.935366 70.878753) - (xy 152.871013 70.866854) - (xy 152.802825 70.855239) - (xy 152.73124 70.844002) - (xy 152.656692 70.833232) - (xy 152.579618 70.823023) - (xy 152.500454 70.813465) - (xy 152.419635 70.804652) - (xy 152.231149 70.781807) - (xy 152.048915 70.752885) - (xy 151.873161 70.717993) - (xy 151.704112 70.677241) - (xy 151.541996 70.63074) - (xy 151.387039 70.578598) - (xy 151.239467 70.520925) - (xy 151.099507 70.457831) - (xy 150.967385 70.389425) - (xy 150.843329 70.315816) - (xy 150.727564 70.237115) - (xy 150.620318 70.153431) - (xy 150.521817 70.064872) - (xy 150.432287 69.97155) - (xy 150.351955 69.873574) - (xy 150.281047 69.771052) - (xy 150.239903 69.703254) - (xy 150.203083 69.636552) - (xy 150.170436 69.569895) - (xy 150.141808 69.502228) - (xy 150.117043 69.432499) - (xy 150.095989 69.359654) - (xy 150.078492 69.282642) - (xy 150.064398 69.200408) - (xy 150.053553 69.111901) - (xy 150.045804 69.016066) - (xy 150.040997 68.911851) - (xy 150.038978 68.798203) - (xy 150.039593 68.674069) - (xy 150.042688 68.538396) - (xy 150.04811 68.39013) - (xy 150.055705 68.22822) - (xy 150.072415 67.962758) - (xy 150.098332 67.715002) - (xy 150.116664 67.59479) - (xy 150.139604 67.475438) - (xy 150.16792 67.355755) - (xy 150.202381 67.234552) - (xy 150.243756 67.110641) - (xy 150.292814 66.982832) - (xy 150.350322 66.849936) - (xy 150.41705 66.710763) - (xy 150.493766 66.564125) - (xy 150.58124 66.408832) - (xy 150.680239 66.243695) - (xy 150.791532 66.067525) - (xy 150.915888 65.879133) - (xy 151.054076 65.677329) - (xy 151.375022 65.228731) - (xy 151.760519 64.712216) - (xy 152.216716 64.11827) - (xy 152.749763 63.437382) - (xy 153.365808 62.660036) - (xy 154.871494 60.777918) - (xy 157.351611 57.70227) - (xy 158.182147 56.694478) - (xy 158.801918 55.969401) - (xy 159.257611 55.474061) - (xy 159.595914 55.15548) - (xy 159.735634 55.045918) - (xy 159.863513 54.960679) - (xy 160.107097 54.83668) - (xy 160.2199 54.793912) - (xy 160.345893 54.756391) - (xy 160.483077 54.724149) - (xy 160.629452 54.697218) - (xy 160.783018 54.67563) - (xy 160.941774 54.659418) - (xy 161.10372 54.648615) - (xy 161.266857 54.643252) + (fp_text value "Chip Resistor - Surface Mount 300Ohms ±5% 1/10W 0603 RoHS" (at 0 1.43) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 14f6e5ee-a070-452b-b482-a3b142d7bc0f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e0ebb885-73ad-41c1-b82e-0b110c310abb) ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5e4c1d7-414b-4564-87e1-d13c82460a80)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4570c9b0-3d8a-46b4-85ea-075e38149291)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55cc7ed5-7651-47d7-bf0c-62aa31b003bc)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ae79b41-1536-4896-a31e-0ee018d238ed)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0bbfef1-9c7d-456c-9dd4-2a0c6e80ba03)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aa8d935d-99ca-4c4f-b28e-daf1c328b1ba)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29bf683f-8d47-4cc4-9fb5-1cb109c54eca)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 55f6ac72-68f3-4019-8f0d-ed8843ca5694)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d188e42-8a5f-448e-aedb-e40559a75a88)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb353fd2-0c50-429b-85bb-7df53aa4395c)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "vdd_b_") (pintype "stereo") (tstamp 824e1392-99cf-46fd-84cd-b08491e4e34c)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "dsdap") (pintype "stereo") (tstamp b7c2315b-2cdf-41a8-a48d-26f9df3b49c3)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) - (stroke (width 0.1) (type solid)) (fill none) (layer "Edge.Cuts") (tstamp ab611106-292d-46ef-8714-93fcf4513d7b)) - (gr_line (start 257.62 77.79) (end 225.62 77.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp b80e33ff-046b-4986-bc0d-6bc4865afc31)) - (gr_arc (start 221.62 81.79) (mid 222.791573 78.961573) (end 225.62 77.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp cf226ff4-3173-434d-8c9b-3129470c1ce4)) - (gr_circle (center 225.62 113.79) (end 227.32 113.79) - (stroke (width 0.1) (type solid)) (fill none) (layer "Edge.Cuts") (tstamp d6648504-8f45-4127-8704-34acfec9c9a4)) - (gr_circle (center 76.165146 55.61107) (end 78.165146 55.61107) - (stroke (width 0.2) (type default)) (fill none) (layer "Edge.Cuts") (tstamp e1dec10d-8b7a-4e73-adf9-1aab96be1971)) - (gr_line (start 225.62 117.79) (end 257.62 117.79) - (stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp e1f36007-0f9d-4dc5-ae43-6b056652526f)) - (gr_text "{{GITHASH}}" (at 152.4 139.7) (layer "F.SilkS") (tstamp 46268c7f-4a2b-440e-af15-46add3884cdf) - (effects (font (size 2 2) (thickness 0.1)) (justify left bottom)) + (footprint "lib:R0603" (layer "F.Cu") + (tstamp 304d4bb5-15be-4cb3-924c-a682fb21040b) + (at 155.175 97.7875) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/12a4754b-d603-013b-6b6f-fa4a9b9f8309/12a4754b-d603-013b-6b6f-fa4a9b9f8309") + (attr smd) + (fp_text reference "R5" (at 2.425 0.025) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f5164d72-2fb0-41db-84dd-613761d272ef) + ) + (fp_text value "Chip Resistor - Surface Mount 100Ohms ±5% 1/10W 0603 RoHS" (at 0 1.43) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7ea65f3c-ec4f-4fdf-8992-d5677277baf7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 44e4726f-09a3-4f54-9c31-4d38fa40377d) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94e6ff12-7b23-41d0-92b1-2806b0caedaf)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8d84177-e95d-42cb-8330-ec06eed0c5de)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8b32230-fcfc-4fe0-92df-4e8f2e947659)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3aa77dc4-2602-4b15-86cc-3f2b37525228)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdd2b8e9-926f-4e56-b12b-988c14bc330b)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b1c8199-bfb4-47ee-a09d-0fda4f201fed)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35a9d1c3-482a-4b1c-a9d2-686e319cb367)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1bbee37-f21b-409f-b71a-90008d2661f6)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c54fd71-36c0-44e4-902a-a199441ecf57)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7df0b598-9e4d-4783-96db-17ee74aa266a)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "dsclp") (pintype "stereo") (tstamp 22184af3-db7b-4c73-b193-8dfa83d8d553)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "dsclm") (pintype "stereo") (tstamp 6ba2f3a0-05b9-4c06-870b-12c585cf4e28)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_text "{{GITHASH}}" (at 62.23 146.05) (layer "F.SilkS") (tstamp 47ada779-5919-4cca-9f25-e816e5b53339) - (effects (font (size 2 2) (thickness 0.1)) (justify left bottom)) + + (footprint "lib:R0603" (layer "F.Cu") + (tstamp 5466b6fe-9cc9-411a-a13f-b40fa966e3a3) + (at 155.2 94.175) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/d73ede14-f1b9-cf13-83f5-0d79b392899b/d73ede14-f1b9-cf13-83f5-0d79b392899b") + (attr smd) + (fp_text reference "R3" (at 2.4 0.025) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 72a72195-e7f6-449a-973c-bc72ae53e06f) + ) + (fp_text value "Chip Resistor - Surface Mount 300Ohms ±5% 1/10W 0603 RoHS" (at 0 1.43) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 39457af1-470d-4a04-9d72-b82a67c9e918) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 59ba3af5-83d4-4697-93dd-25756e994ded) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2013ecb2-ac59-4e13-9d7e-49126e576be8)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3371781e-b9f3-4f14-b4d0-3475ce0e830e)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd16247d-af0e-453e-b262-7d069b5e4b28)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72d03266-ef68-4ccf-be1c-6c3d48c184db)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef3183a1-be4d-4749-9708-abf6ca52b68c)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1b6859c-5a3a-4260-befd-df880427b1a7)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 254fe533-f8bf-427c-8732-6ed9be8c7706)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65494ce7-fb76-4ada-872e-7f5cd24c7b5d)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25abf378-3bc5-4cd6-bb36-a05354bc6e83)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 106dfc36-8101-4cdd-8b4b-8531c3f7c69d)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "dsdam") (pintype "stereo") (tstamp c6ba9d0d-ba4a-4d6a-8267-e2ba455a093b)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "gnd") (pintype "stereo") (tstamp 65ddf75d-74d4-43ab-8117-3be3b8340b39)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_text "{{GITHASH}}" (at 232.508427 115.791573) (layer "F.SilkS") (tstamp d894e23f-c5ed-4336-947e-ac38e533f04c) - (effects (font (size 2 2) (thickness 0.1)) (justify left bottom)) + + (footprint "lib:R0603" (layer "F.Cu") + (tstamp 5b8918cd-912d-43d1-a21a-f8456bcb24cc) + (at 155.2 92.6 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/8d0e4992-1fcb-789e-125d-c868f27fc72c/8d0e4992-1fcb-789e-125d-c868f27fc72c") + (attr smd) + (fp_text reference "R2" (at -2.4 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d67582d4-c4ec-4464-bc7e-088b44858370) + ) + (fp_text value "Chip Resistor - Surface Mount 100Ohms ±5% 1/10W 0603 RoHS" (at 0 1.43) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d4f935d-2be5-49e3-a0d5-578ccbb1c04a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp b84d6df4-a842-47c8-b915-f1643f3684f9) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp faf0bf11-0417-46aa-8e13-045b8a8f6c39)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59af8b2a-b58c-4936-9415-7d4ee88d1610)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92e9de50-7f15-485b-a04e-2c3acad7489a)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9296034f-438c-42e8-9998-a43f61029659)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94cf72a4-8475-4f77-a942-9991048268ee)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45ffdb3d-30bf-4cc1-bf83-f1884934b069)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac3921d7-19a9-4a27-a5e2-89f9ea230f2f)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2d8c701b-d1f5-4ca6-bcfd-cef2141c32a9)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3ac31a40-22e2-47af-8be8-cf059a0ab500)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be6a5e93-d3cd-494f-b102-90be920c098f)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "dsdap") (pintype "stereo") (tstamp 02da9064-2664-48f2-ac8f-7a4654bbd967)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "dsdam") (pintype "stereo") (tstamp b2a8e923-fea8-4054-9301-92afa2217d99)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (group "" (id 6c1ef9f7-0f34-47bb-ac1a-76df23fb396e) - (members - 12603b1a-816f-4263-bdfe-ff7600c93d66 - e1dec10d-8b7a-4e73-adf9-1aab96be1971 + (footprint "lib:R0603" (layer "F.Cu") + (tstamp 6fbe9014-a3fd-4c08-b373-4a4a33dbf2c1) + (at 155.175 99.4125 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/d6b75c41-c9e8-4f9c-d89f-fac182ac80f9/d6b75c41-c9e8-4f9c-d89f-fac182ac80f9") + (attr smd) + (fp_text reference "R6" (at -2.425 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 363a7c79-6105-4306-a40d-64bf5914c2f0) + ) + (fp_text value "Chip Resistor - Surface Mount 300Ohms ±5% 1/10W 0603 RoHS" (at 0 1.43) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d3cd5d4b-1c14-4868-91ed-314b4a94b0cc) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 72f63098-c4f7-41e7-9ca7-4979f26e70d3) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08a8dc7f-ba3e-4896-8a95-fd992a4dfa1e)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7b846d6-5f26-4d6a-aa18-eaa54592e79d)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c7a27bc-0408-4965-b7ac-26fa8d5b57cb)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c09ca776-1042-41db-bcd3-673d2c984341)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4afb29b0-0d13-4353-94e4-f3106b1f6bc7)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4aaa18a2-3acb-43df-a682-cd19e6c90f46)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 584527e2-ce0b-4b2d-96a7-9b84eb1f83b3)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dc83460a-a4fb-4e1f-a854-8e682f5b2a68)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8a9cece-fa5b-4487-a3b0-9704bd2be542)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee06cd90-9613-4e92-8d85-b629623bd365)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "dsclm") (pintype "stereo") (tstamp 4f35af2f-5364-409a-bef3-e7b9aa4aeb18)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "gnd") (pintype "stereo") (tstamp c1983483-7d70-479d-92d8-2a973aefb705)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) ) ) - (group "" (id 6f60eb59-b59f-4015-b26b-3301e7fbca0d) - (members - 87ed6cf3-1ec4-474a-abf8-50c17e80d36f - ab611106-292d-46ef-8714-93fcf4513d7b + + (footprint "lib:R0603" (layer "F.Cu") + (tstamp 819b08ac-48fc-4700-ac8b-2ea327475cc2) + (at 155.175 96 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/41acafcb-e05c-25a9-9637-0f3271b61ed9/41acafcb-e05c-25a9-9637-0f3271b61ed9") + (attr smd) + (fp_text reference "R4" (at -2.425 -0.025) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 026c8a1b-5dc6-4b50-a1d2-fd1877076d5e) + ) + (fp_text value "Chip Resistor - Surface Mount 300Ohms ±5% 1/10W 0603 RoHS" (at 0 1.43) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 86943c9e-ddae-4401-8b7a-b63ded5c2ec1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f6e8a7dc-e4a3-4321-92f3-864fca530b2b) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7d5b76a-3e8d-4bcd-bd77-28ce534754f9)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f29e123-af57-41dd-b384-b683ac75e45e)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 76afd18c-69c8-405f-bc83-5344f51934e7)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c53def0-1574-4a63-b024-70c53753f8f6)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cb65d95a-072b-48fa-a8a4-44959f665f8b)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eb3a1bf1-a474-4152-b591-0b477c424429)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e2e444c-3ad1-4aad-af98-6d47af8343e9)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90dc5ba2-3e11-47db-8604-7ad805cc660c)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27bf9d15-f13a-46d5-ab20-afca56928006)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e32e18da-9511-4cd2-bbf3-b1c8e952cdc8)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "vdd_b_") (pintype "stereo") (tstamp eff08c4f-abe0-4711-8e63-ef4c0ab1e7c8)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "dsclp") (pintype "stereo") (tstamp 190abc1e-8a37-4b78-a99a-89091f266e39)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) ) ) - (group "" (id deecda4f-8c94-4c72-8782-3da851560eb8) - (members - 019c0a6d-9bb8-42f8-8584-8f200b71a27b - 097c55d3-ce7a-4886-a7db-9a4e9fcd0127 - 0e8e5788-23b0-4e0e-993f-a94957498ae3 - 178364bc-250e-4a39-b0ba-4262bbac4b46 - 3d8baf97-c836-4397-867c-23e52ff05ce1 - 871dfad9-a85d-42ce-9d2d-6520fae7f4c4 - 8baef1cd-8e58-474a-b843-199aa0080763 - a7e36be5-cc10-4aad-836f-bde309666136 - b80e33ff-046b-4986-bc0d-6bc4865afc31 - cf226ff4-3173-434d-8c9b-3129470c1ce4 - d6648504-8f45-4127-8704-34acfec9c9a4 - e1f36007-0f9d-4dc5-ae43-6b056652526f + + (footprint "lib:TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL" (layer "F.Cu") + (tstamp c8a33c6c-1ba2-44d6-8a1d-45be9f5e1799) + (at 149.445 95.115 -90) + (path "/5a125875-f203-bc8f-1b4b-5e1309b60b99/5a125875-f203-bc8f-1b4b-5e1309b60b99") + (attr smd) + (fp_text reference "U1" (at -0.005 -0.005 -180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ec0a839a-63b8-417a-8263-39a7bae74b2f) + ) + (fp_text value "" (at 0.6 5.3 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dae333c6-eae9-404e-b615-f3b76e505982) + ) + (fp_text user "${REFERENCE}" (at 0 0 -180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4918ddea-88af-4572-bfca-53815ac9ec28) + ) + (fp_line (start -1.5 -1.5) (end -1.5 -0.53) + (stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp d3e76920-5f93-4d0b-9e61-76ca5bb0522d)) + (fp_line (start -1.5 -1.5) (end 1.5 -1.5) + (stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp d46e7ee9-3504-48e7-951c-29f9e4b362a3)) + (fp_line (start -1.5 1.5) (end -1.5 0.49) + (stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp 082f0af9-235e-48ad-b6d1-0507d3fe5236)) + (fp_line (start -1.5 1.5) (end 1.5 1.5) + (stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp d939bdf7-23d2-4bb9-8456-c5d19401d0ec)) + (fp_line (start 1.5 1.5) (end 1.5 -1.5) + (stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp fcbe0cef-b922-4ec4-92f2-53f6318183c9)) + (fp_arc (start -1.5 -0.53) (mid -0.99 -0.02) (end -1.5 0.49) + (stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp 4dd76c94-6ba2-4114-af2a-6516d6e23018)) + (fp_circle (center -1.55 2.26) (end -1.42 2.26) + (stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 62e29e4b-81bc-45ae-a7fb-015faf6b8665)) + (fp_circle (center -1.45 2.4) (end -1.4 2.4) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d7089684-af06-4784-b4da-68536bdc35fe)) + (pad "1" smd rect (at -1 2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "vdd_a_") (pintype "stereo") (tstamp 43d2d115-e20c-4b47-806e-1a2efb2e3191)) + (pad "2" smd rect (at -0.5 2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "sda") (pintype "stereo") (tstamp e959ddaa-3839-4278-9d45-d6165257db23)) + (pad "3" smd rect (at 0 2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "en") (pintype "stereo") (tstamp 33bbc6af-e3db-4f39-95f0-ca94469c7f75)) + (pad "4" smd rect (at 0.5 2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "scl") (pintype "stereo") (tstamp 28e11924-0d1b-434b-9408-60b855b7436d)) + (pad "5" smd rect (at 1 2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "gnd") (pintype "stereo") (tstamp c05d8108-1443-4e1f-82ef-e4e06f15be22)) + (pad "6" smd rect (at 1 -2.3 180) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "dsclm") (pintype "stereo") (tstamp e52f6f41-9816-4452-932f-e16e189c4a3b)) + (pad "7" smd rect (at 0.5 -2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "dsclp") (pintype "stereo") (tstamp a3ed9a76-1364-4a9c-b14e-dea2aa2fe8c3)) + (pad "8" smd rect (at 0 -2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "dsdap") (pintype "stereo") (tstamp 5780dd8f-debd-4fc7-9be3-68d3ad3922d5)) + (pad "9" smd rect (at -0.5 -2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "dsdam") (pintype "stereo") (tstamp 98a04f76-9a3c-46e2-8ffb-6c3939064ab8)) + (pad "10" smd rect (at -1 -2.3) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "vdd_b_") (pintype "stereo") (tstamp 41ff89fd-0412-4812-ab84-24b6cf5eafa5)) + (model "C:/Users/justi/Documents/ato/test/.ato/modules/pca9615/elec/footprints/footprints.3dshapes/TSSOP-10_L3.0-W3.0-H1.0-LS4.9-P0.5.wrl" + (offset (xyz 0 -0 -0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) ) ) -) \ No newline at end of file + + (segment (start 147.145 94.115) (end 146.315 94.115) (width 0.25) (layer "F.Cu") (net 1) (tstamp 4336ba00-ca4c-469e-9c41-b4e56eb800cf)) + (segment (start 145.8 93.6) (end 145 93.6) (width 0.25) (layer "F.Cu") (net 1) (tstamp a73e5509-4bbf-47e9-bd9c-c41dc7ad7679)) + (segment (start 146.315 94.115) (end 145.8 93.6) (width 0.25) (layer "F.Cu") (net 1) (tstamp fb665c62-e48a-4e93-a353-c33e19e6a381)) + (via (at 145 93.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp b77f397d-f4a7-4425-b71e-71b5c6ec88c4)) + (segment (start 147.145 94.615) (end 145.015 94.615) (width 0.25) (layer "F.Cu") (net 2) (tstamp c72c0963-97b3-4490-84ce-afa8a80f620d)) + (segment (start 145.015 94.615) (end 145 94.6) (width 0.25) (layer "F.Cu") (net 2) (tstamp dd2d9202-43b7-4086-bc26-d39b88ae6d4e)) + (via (at 145 94.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 5c8e1734-590b-4283-86c1-a00d5a544973)) + (segment (start 147.145 95.115) (end 145.510305 95.115) (width 0.25) (layer "F.Cu") (net 3) (tstamp 25d25701-affa-4cea-9f16-a1240467a2f4)) + (segment (start 145.510305 95.115) (end 145.025305 95.6) (width 0.25) (layer "F.Cu") (net 3) (tstamp 33636224-39cc-439b-aa75-75575cd541b2)) + (segment (start 145.025305 95.6) (end 145 95.6) (width 0.25) (layer "F.Cu") (net 3) (tstamp f2687a03-4b2a-4a64-9671-6269f0e7f653)) + (via (at 145 95.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 3) (tstamp e887d71f-895b-4e29-a0ee-973ce58786b9)) + (segment (start 146.345 95.615) (end 145.36 96.6) (width 0.25) (layer "F.Cu") (net 4) (tstamp 140d41c5-1ec3-4247-8d2e-3c628837e413)) + (segment (start 145.36 96.6) (end 145 96.6) (width 0.25) (layer "F.Cu") (net 4) (tstamp 14fb0770-5791-4965-99c0-2684303330c1)) + (segment (start 147.145 95.615) (end 146.345 95.615) (width 0.25) (layer "F.Cu") (net 4) (tstamp 9a04ee16-8409-4983-9070-8340ca0df929)) + (via (at 145 96.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp ea47a3e0-f2af-4104-9b2f-9795dc60c3b6)) + (segment (start 151.745 96.405504) (end 153.951996 98.6125) (width 0.25) (layer "F.Cu") (net 6) (tstamp 07b6ec99-a735-46e8-bf2c-3317dfb3b025)) + (segment (start 151.745 96.115) (end 151.745 96.405504) (width 0.25) (layer "F.Cu") (net 6) (tstamp 21fd0228-2aa6-4cac-a562-aac374e16dec)) + (segment (start 156 97.7875) (end 156 98.6125) (width 0.25) (layer "F.Cu") (net 6) (tstamp 714b61a3-eeaa-4871-88a5-c3665931e39b)) + (segment (start 156 98.6125) (end 156 99.4125) (width 0.25) (layer "F.Cu") (net 6) (tstamp 76fe14d2-115e-4141-9104-7f666caf20dd)) + (segment (start 156 98.6125) (end 160 98.6) (width 0.25) (layer "F.Cu") (net 6) (tstamp 7e28fb05-a345-41b1-a567-5d701553d332)) + (segment (start 153.951996 98.6125) (end 156 98.6125) (width 0.25) (layer "F.Cu") (net 6) (tstamp 9712040c-d56c-444d-93d0-f43d60c51612)) + (segment (start 160 98.6) (end 160 98.6125) (width 0.25) (layer "F.Cu") (net 6) (tstamp dc2260f1-51b7-498d-958b-163e5b0f4bca)) + (via (at 160 98.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 6) (tstamp dfd6c6be-c2ce-4d93-ae0a-80f0f4e43609)) + (segment (start 154.35 96.2125) (end 154.35 96.8) (width 0.25) (layer "F.Cu") (net 7) (tstamp 3ae7716a-66b0-4538-9ddd-df3f53268769)) + (segment (start 151.745 95.615) (end 153.965 95.615) (width 0.25) (layer "F.Cu") (net 7) (tstamp 4bd15660-74c7-4d20-9fe8-83a9e32f4913)) + (segment (start 154.35 96.8) (end 154.35 97.7875) (width 0.25) (layer "F.Cu") (net 7) (tstamp 662f9a31-603d-4010-850e-9cb92b3e22ae)) + (segment (start 153.965 95.615) (end 154.35 96) (width 0.25) (layer "F.Cu") (net 7) (tstamp 88469637-9079-48d6-90dd-7f899479edbd)) + (segment (start 154.35 96.8) (end 160 96.8) (width 0.25) (layer "F.Cu") (net 7) (tstamp bd75e386-da5d-4cf3-9648-649f33487f7b)) + (via (at 160 96.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7) (tstamp ea9169ac-d1c1-4a01-9d4f-d088426f2bf0)) + (segment (start 156 92.575) (end 156.025 92.6) (width 0.25) (layer "F.Cu") (net 8) (tstamp 0be85678-763e-40dc-82f2-1a17502c4c13)) + (segment (start 152.326996 91.8) (end 156 91.8) (width 0.25) (layer "F.Cu") (net 8) (tstamp 204de37f-08f5-4e3b-ae87-fe7ff1f9c59b)) + (segment (start 156.025 92.6) (end 160 92.6) (width 0.25) (layer "F.Cu") (net 8) (tstamp 69c3c96c-36d6-4c58-910c-0bacdd2c8ca2)) + (segment (start 156 91) (end 156 91.8) (width 0.25) (layer "F.Cu") (net 8) (tstamp 716528f1-5ecc-4de6-9bbd-de26eb62e3ea)) + (segment (start 156 91.8) (end 156 92.575) (width 0.25) (layer "F.Cu") (net 8) (tstamp 78712eff-194f-4007-bae5-0be08586c004)) + (segment (start 151.745 95.115) (end 150.945 95.115) (width 0.25) (layer "F.Cu") (net 8) (tstamp 9ecb002d-3ef7-40bc-bc1a-2e766d7611c9)) + (segment (start 150.92 95.09) (end 150.92 93.206996) (width 0.25) (layer "F.Cu") (net 8) (tstamp b5402e63-4873-4d20-8337-418b7f691329)) + (segment (start 150.945 95.115) (end 150.92 95.09) (width 0.25) (layer "F.Cu") (net 8) (tstamp d4ce9ea1-2913-4604-bb3f-1451936fc804)) + (segment (start 150.92 93.206996) (end 152.326996 91.8) (width 0.25) (layer "F.Cu") (net 8) (tstamp e385a9f7-9ecb-4a0c-8d5a-d386b5c78757)) + (via (at 160 92.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp 0b83a864-1efd-440c-94b9-c11cb596b17e)) + (segment (start 151.745 94.615) (end 153.935 94.615) (width 0.25) (layer "F.Cu") (net 9) (tstamp 521b09c9-60d3-46b9-9bb4-24b3c834ce3a)) + (segment (start 154.375 92.6) (end 154.375 94.175) (width 0.25) (layer "F.Cu") (net 9) (tstamp 554df1a6-2ed1-430e-b74b-1af97bf1e946)) + (segment (start 154.375 94.175) (end 155.2 95) (width 0.25) (layer "F.Cu") (net 9) (tstamp 56e9f2da-0ec8-40ed-a2af-c315e6ba7f12)) + (segment (start 155.2 95) (end 160 95) (width 0.25) (layer "F.Cu") (net 9) (tstamp ded4959e-8d03-45a5-bc3a-aed9ac1568de)) + (segment (start 153.935 94.615) (end 154.375 94.175) (width 0.25) (layer "F.Cu") (net 9) (tstamp e32b614d-cc5c-456c-9bf4-9799507c2726)) + (via (at 160 95) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 7b8dfaf1-be05-4e7b-a839-32760d33dbe6)) + (segment (start 152.6 91) (end 153.4 90.2) (width 0.25) (layer "F.Cu") (net 10) (tstamp 5f2766ae-9490-4399-a7d0-d0c2b5ead41c)) + (segment (start 157.2 96) (end 156 96) (width 0.25) (layer "F.Cu") (net 10) (tstamp 87a5f0a2-9e3e-4185-85fd-49a228906de1)) + (segment (start 151.745 93.655) (end 152 93.4) (width 0.25) (layer "F.Cu") (net 10) (tstamp b3b9c03b-b973-46bb-966c-25580baa7478)) + (segment (start 152.6 91) (end 154.35 91) (width 0.25) (layer "F.Cu") (net 10) (tstamp c3e38c50-6ffb-45fd-a78f-6e4820e55038)) + (segment (start 151.745 94.115) (end 151.745 93.655) (width 0.25) (layer "F.Cu") (net 10) (tstamp e5b3ffb4-1a0f-4cae-a347-a89fc3aaaaf2)) + (segment (start 153.4 90.2) (end 160 90.2) (width 0.25) (layer "F.Cu") (net 10) (tstamp e7de6258-ed43-44fb-a5c3-d9f0d41b3205)) + (segment (start 152 93.4) (end 152.6 93.4) (width 0.25) (layer "F.Cu") (net 10) (tstamp f65edd7b-00e8-41ed-baac-0d183fddf354)) + (via (at 152.6 93.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 10) (tstamp 245d4735-8130-428c-b108-c7c44aefde87)) + (via (at 160 90.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 66781945-1717-47cb-ba9a-45f293264d30)) + (via (at 157.2 96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 10) (tstamp 8dd074c4-4b48-4c8f-be7a-80cc45f84702)) + (via (at 152.6 91) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 10) (tstamp 9d556491-ab88-4fab-879a-0f136b4f7bc2)) + (segment (start 155.2 96) (end 152.6 93.4) (width 0.25) (layer "B.Cu") (net 10) (tstamp 48e7f422-c62a-40a0-87b6-b28e98d283bd)) + (segment (start 157.2 96) (end 155.2 96) (width 0.25) (layer "B.Cu") (net 10) (tstamp 54c4c4bd-2356-4168-bb3a-0fd40121b0bb)) + (segment (start 152.6 91) (end 152.6 93.4) (width 0.25) (layer "B.Cu") (net 10) (tstamp 5fd6c2c1-37f7-4b31-af59-3373e8e659e9)) + +) diff --git a/elec/layout/default/pca9615.kicad_pro b/elec/layout/default/pca9615.kicad_pro index c90c319..4bd99fa 100644 --- a/elec/layout/default/pca9615.kicad_pro +++ b/elec/layout/default/pca9615.kicad_pro @@ -181,7 +181,7 @@ "pinned_symbol_libs": [] }, "meta": { - "filename": "template123.kicad_pro", + "filename": "pca9615.kicad_pro", "version": 1 }, "net_settings": { @@ -215,7 +215,7 @@ "last_paths": { "gencad": "", "idf": "", - "netlist": "../../../build/default/default.net", + "netlist": "../../../build/default.net", "specctra_dsn": "", "step": "", "vrml": "" @@ -228,4 +228,4 @@ }, "sheets": [], "text_variables": {} -} \ No newline at end of file +} diff --git a/elec/src/PCA9615DPJ.ato b/elec/src/PCA9615DPJ.ato new file mode 100644 index 0000000..992f087 --- /dev/null +++ b/elec/src/PCA9615DPJ.ato @@ -0,0 +1,17 @@ +component PCA9615DPJ: + # component PCA9615DPJ + footprint = "TSSOP-10_L3.0-W3.0-P0.50-LS4.9-BL" + lcsc_id = "C2652385" + mpn = "C2652385" + # pins + signal VDD_A_ ~ pin 1 + signal SDA ~ pin 2 + signal EN ~ pin 3 + signal SCL ~ pin 4 + signal VSS ~ pin 5 + signal DSCLM ~ pin 6 + signal DSCLP ~ pin 7 + signal DSDAP ~ pin 8 + signal DSDAM ~ pin 9 + signal VDD_B_ ~ pin 10 + diff --git a/elec/src/pca9615.ato b/elec/src/pca9615.ato index c1681bf..780ac79 100644 --- a/elec/src/pca9615.ato +++ b/elec/src/pca9615.ato @@ -1,2 +1,70 @@ -module Pca9615: - signal gnd \ No newline at end of file +""" + PCA9615 circuit, based on Figure 7, page 11 + Datasheet: https://www.nxp.com/docs/en/data-sheet/PCA9615.pdf + Released under CERN-OHL-P v2 + Date: 3/1/2024 +""" + +from "generics/resistors.ato" import Resistor +from "generics/interfaces.ato" import DiffPair, Power, I2C, GPIO +from "PCA9615DPJ.ato" import PCA9615DPJ + +module PCA9615: + pca9615 = new PCA9615DPJ + d_sda = new DiffPair + d_scl = new DiffPair + i2c = new I2C + power_a_2v3_5v5 = new Power + power_b_3v0_5v5 = new Power + en = new GPIO + + i2c.sda ~ pca9615.SDA + i2c.scl ~ pca9615.SCL + + power_a_2v3_5v5.vcc ~ pca9615.VDD_A_ + power_a_2v3_5v5.gnd ~ pca9615.VSS + power_b_3v0_5v5.vcc ~ pca9615.VDD_B_ + power_b_3v0_5v5.gnd ~ pca9615.VSS + + d_sda.p ~ pca9615.DSDAP + d_sda.n ~ pca9615.DSDAM + + d_scl.p ~ pca9615.DSCLP + d_scl.n ~ pca9615.DSCLM + + # EN is driven high by an internal pullup. Pull low to disable chip + en.io ~ pca9615.EN + + r_sda_top = new Resistor + r_sda_top.value = 300ohm +/- 10% + r_sda_top.footprint = "R0603" + r_sda_middle = new Resistor + r_sda_middle.value = 100ohm +/- 10% + r_sda_middle.footprint = "R0603" + r_sda_bottom = new Resistor + r_sda_bottom.value = 300ohm +/- 10% + r_sda_bottom.footprint = "R0603" + + r_scl_top = new Resistor + r_scl_top.value = 300ohm +/- 10% + r_scl_top.footprint = "R0603" + r_scl_middle = new Resistor + r_scl_middle.value = 100ohm +/- 10% + r_scl_middle.footprint = "R0603" + r_scl_bottom = new Resistor + r_scl_bottom.value = 300ohm +/- 10% + r_scl_bottom.footprint = "R0603" + + r_sda_top.p1 ~ power_b_3v0_5v5.vcc + r_sda_top.p2 ~ pca9615.DSDAP + pca9615.DSDAP ~ r_sda_middle.p1 + r_sda_middle.p2 ~ pca9615.DSDAM + pca9615.DSDAM ~ r_sda_bottom.p1 + r_sda_bottom.p2 ~ power_b_3v0_5v5.gnd + + r_scl_top.p1 ~ power_b_3v0_5v5.vcc + r_scl_top.p2 ~ pca9615.DSCLP + pca9615.DSCLP ~ r_scl_middle.p1 + r_scl_middle.p2 ~ pca9615.DSCLM + pca9615.DSCLM ~ r_scl_bottom.p1 + r_scl_bottom.p2 ~ power_b_3v0_5v5.gnd \ No newline at end of file