From 91b5501d942e72ed99c8873563d52b6403e375aa Mon Sep 17 00:00:00 2001 From: Kevin Laeufer Date: Wed, 11 Jan 2023 12:31:45 -0500 Subject: [PATCH] ChiselEnum is no longer experimental (#608) --- src/main/scala/chisel3/internaltest/EnumHelpers.scala | 2 +- src/main/scala/chiseltest/iotesters/PeekPokeTester.scala | 6 +----- src/main/scala/chiseltest/package.scala | 2 +- .../examples/VGBComparisonOfFormalAndSimulation.scala | 2 -- src/test/scala/chiseltest/iotesters/EnumSpec.scala | 1 - .../chiseltest/iotesters/examples/PeekPokeBundleSpec.scala | 1 - src/test/scala/chiseltest/tests/ChiselEnumTest.scala | 1 - src/test/scala/chiseltest/tests/FaultDecoderTest.scala | 1 - 8 files changed, 3 insertions(+), 13 deletions(-) diff --git a/src/main/scala/chisel3/internaltest/EnumHelpers.scala b/src/main/scala/chisel3/internaltest/EnumHelpers.scala index e41520183..9dab47010 100644 --- a/src/main/scala/chisel3/internaltest/EnumHelpers.scala +++ b/src/main/scala/chisel3/internaltest/EnumHelpers.scala @@ -2,7 +2,7 @@ package chisel3.internaltest -import chisel3.experimental.EnumType +import chisel3.EnumType /** Helper functions to allow for peeks and better debugging of ChiselEnums. * This needs to be in a `chisel3` package in order to access the package private diff --git a/src/main/scala/chiseltest/iotesters/PeekPokeTester.scala b/src/main/scala/chiseltest/iotesters/PeekPokeTester.scala index f3d6b878b..df91dee78 100644 --- a/src/main/scala/chiseltest/iotesters/PeekPokeTester.scala +++ b/src/main/scala/chiseltest/iotesters/PeekPokeTester.scala @@ -1,18 +1,14 @@ package chiseltest.iotesters import chisel3._ -import chisel3.experimental._ +import chisel3.experimental.{FixedPoint, Interval} import chisel3.internal.firrtl.KnownBinaryPoint -import chiseltest.ChiselAssertionError -import chiseltest.internal.{Context, FailedExpectException} import chiseltest.simulator.SimulatorContext import logger.LazyLogging -import org.scalatest.exceptions.TestFailedException import scala.collection.immutable import scala.collection.mutable import scala.language.implicitConversions -import scala.util.Random import scala.annotation.{implicitNotFound, tailrec} // A typeclass that defines the types we can poke, peek, or expect from diff --git a/src/main/scala/chiseltest/package.scala b/src/main/scala/chiseltest/package.scala index aae6acc98..e703c1e54 100644 --- a/src/main/scala/chiseltest/package.scala +++ b/src/main/scala/chiseltest/package.scala @@ -3,7 +3,7 @@ import scala.language.implicitConversions import chiseltest.internal._ import chisel3._ -import chisel3.experimental.{DataMirror, Direction, EnumType, FixedPoint, Interval} +import chisel3.experimental.{DataMirror, Direction, FixedPoint, Interval} import chisel3.experimental.BundleLiterals._ import chisel3.experimental.VecLiterals._ import chisel3.internal.firrtl.KnownBinaryPoint diff --git a/src/test/scala/chiseltest/formal/examples/VGBComparisonOfFormalAndSimulation.scala b/src/test/scala/chiseltest/formal/examples/VGBComparisonOfFormalAndSimulation.scala index 34d920c85..99797ade1 100644 --- a/src/test/scala/chiseltest/formal/examples/VGBComparisonOfFormalAndSimulation.scala +++ b/src/test/scala/chiseltest/formal/examples/VGBComparisonOfFormalAndSimulation.scala @@ -4,8 +4,6 @@ package chiseltest.formal.examples import chisel3._ import chisel3.util._ import chisel3.experimental.BundleLiterals._ -import chisel3.experimental._ -import chisel3.util.experimental.BoringUtils import chiseltest._ import chiseltest.formal._ import chiseltest.experimental._ diff --git a/src/test/scala/chiseltest/iotesters/EnumSpec.scala b/src/test/scala/chiseltest/iotesters/EnumSpec.scala index d94ba0fba..b801b30df 100644 --- a/src/test/scala/chiseltest/iotesters/EnumSpec.scala +++ b/src/test/scala/chiseltest/iotesters/EnumSpec.scala @@ -3,7 +3,6 @@ package chiseltest.iotesters import chisel3._ -import chisel3.experimental.ChiselEnum import chisel3.util._ import chiseltest._ import chiseltest.simulator.RequiresVerilator diff --git a/src/test/scala/chiseltest/iotesters/examples/PeekPokeBundleSpec.scala b/src/test/scala/chiseltest/iotesters/examples/PeekPokeBundleSpec.scala index b8291254a..fe2592c91 100644 --- a/src/test/scala/chiseltest/iotesters/examples/PeekPokeBundleSpec.scala +++ b/src/test/scala/chiseltest/iotesters/examples/PeekPokeBundleSpec.scala @@ -3,7 +3,6 @@ package chiseltest.iotesters.examples import chisel3._ -import chisel3.experimental.ChiselEnum import chiseltest.ChiselScalatestTester import chiseltest.iotesters._ import org.scalatest.flatspec.AnyFlatSpec diff --git a/src/test/scala/chiseltest/tests/ChiselEnumTest.scala b/src/test/scala/chiseltest/tests/ChiselEnumTest.scala index eac779bf5..4a60e4a19 100644 --- a/src/test/scala/chiseltest/tests/ChiselEnumTest.scala +++ b/src/test/scala/chiseltest/tests/ChiselEnumTest.scala @@ -5,7 +5,6 @@ package chiseltest.tests import chisel3._ import chiseltest._ -import chisel3.experimental.ChiselEnum import org.scalatest.exceptions.TestFailedException import org.scalatest.flatspec.AnyFlatSpec diff --git a/src/test/scala/chiseltest/tests/FaultDecoderTest.scala b/src/test/scala/chiseltest/tests/FaultDecoderTest.scala index 94e38a2f8..a34dd7972 100644 --- a/src/test/scala/chiseltest/tests/FaultDecoderTest.scala +++ b/src/test/scala/chiseltest/tests/FaultDecoderTest.scala @@ -72,7 +72,6 @@ class FaultDecoderTest extends AnyFlatSpec with ChiselScalatestTester with Match } ignore should "display names for enums" in { // needs better reflection support in enums - import chisel3.experimental.ChiselEnum object EnumExample extends ChiselEnum { val e0, e1, e2 = Value }