From b7ff346609c1b8eefbb0c5937ca6a8585c83f5f7 Mon Sep 17 00:00:00 2001 From: umarcor Date: Mon, 6 May 2024 11:43:58 +0200 Subject: [PATCH] VUnit: add_vhdl_builtins --- control/run.py | 1 + ghdl_ug/vunit_run.py | 1 + 2 files changed, 2 insertions(+) diff --git a/control/run.py b/control/run.py index b5abad6..498952c 100755 --- a/control/run.py +++ b/control/run.py @@ -8,6 +8,7 @@ ROOT = Path(__file__).resolve().parent VU = VUnit.from_argv() +VU.add_vhdl_builtins() VU.add_verification_components() LIB = VU.add_library("lib") diff --git a/ghdl_ug/vunit_run.py b/ghdl_ug/vunit_run.py index a038985..4eddaba 100755 --- a/ghdl_ug/vunit_run.py +++ b/ghdl_ug/vunit_run.py @@ -7,6 +7,7 @@ # Create VUnit instance by parsing command line arguments vu = VUnit.from_argv() +vu.add_vhdl_builtins() # Create library 'lib' lib = vu.add_library("lib")