diff --git a/README.md b/README.md index 4ba8431d..1ac4b8ea 100644 --- a/README.md +++ b/README.md @@ -35,7 +35,11 @@ All of sv2v's dependencies are free and open-source. ### Pre-built binaries -We plan on releasing pre-built binaries in the future. +Binaries for Linux and macOS are available on the [releases page]. If your +system is not covered, or you would like to build the latest commit, simple +instructions for building from source are below. + +[releases page]: https://github.com/zachjs/sv2v/releases ### Building from source diff --git a/src/Job.hs b/src/Job.hs index ce95624f..83d4c81a 100644 --- a/src/Job.hs +++ b/src/Job.hs @@ -50,7 +50,7 @@ defaultJob = Job , verbose = nam "verbose" &= help "Retain certain conversion artifacts" } &= program "sv2v" - &= summary ("sv2v v0.0.1 (" ++ shortGitHash ++ ")") + &= summary ("sv2v v0.0.2 (" ++ shortGitHash ++ ")") &= details [ "sv2v converts SystemVerilog to Verilog." , "More info: https://github.com/zachjs/sv2v" , "(C) 2019-2020 Zachary Snow, 2011-2015 Tom Hawkins" ] diff --git a/sv2v.cabal b/sv2v.cabal index 9eb9a0da..b936fae0 100644 --- a/sv2v.cabal +++ b/sv2v.cabal @@ -1,5 +1,5 @@ name: sv2v -version: 0.0.1 +version: 0.0.2 synopsis: SystemVerilog to Verilog conversion description: A tool for coverting SystemVerilog to Verilog. Originally forked from the