Skip to content
This repository has been archived by the owner on May 28, 2024. It is now read-only.

Commit

Permalink
add MUX 2 to 1 IO
Browse files Browse the repository at this point in the history
  • Loading branch information
SinaKarvandi committed May 5, 2024
1 parent b8608a6 commit 2e0a055
Show file tree
Hide file tree
Showing 3 changed files with 80 additions and 2 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -131,8 +131,13 @@ class InterpreterPortInformation(
is(sSendPortItems) {

//
// Send input port items
//
//
LogInfo(debug)("Iterating over input pins:")

inputPortsConfiguration.foreach { case (port, pins) =>
LogInfo(debug)(s"Port $port has $pins pins")
}

}
}
Expand Down
73 changes: 73 additions & 0 deletions src/main/scala/hwdbg/libs/mux/mux_2_to_1_io.scala
Original file line number Diff line number Diff line change
@@ -0,0 +1,73 @@
/**
* @file
* mux_2_to_1_io.scala
* @author
* Sina Karvandi (sina@hyperdbg.org)
* @brief
* Implementation of MUX 2 to 1 (I/O)
* @details
* @version 0.1
* @date
* 2024-05-05
*
* @copyright
* This project is released under the GNU Public License v3.
*/
package hwdbg.libs.mux

import chisel3._

import hwdbg.configs._

class Mux2To1IO(
debug: Boolean = DebuggerConfigurations.ENABLE_DEBUG
) extends Module {

val io = IO(new Bundle {

val a = Input(Bool())
val b = Input(Bool())
val select = Input(Bool())
val out = Output(Bool())

})
io.out := io.a & io.select | io.b & (~io.select)

}

object Mux2To1IO {

def apply(
debug: Boolean = DebuggerConfigurations.ENABLE_DEBUG
)(
a: Bool,
b: Bool,
select: Bool
): (Bool) = {

val mux2To1IO = Module(
new Mux2To1IO(
debug
)
)

val out = Wire(Bool())

//
// Configure the input signals
//
mux2To1IO.io.a := a
mux2To1IO.io.b := b
mux2To1IO.io.select := select

//
// Configure the output signals
//
out := mux2To1IO.io.out

//
// Return the output result
//
out
}
}
2 changes: 1 addition & 1 deletion src/test/bram/send_version.hex.txt
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
52444247 ; +0x8 | Indicator
48595045 ; +0xc | Indicator
00000004 ; +0x10 | TypeOfThePacket - DEBUGGER_TO_DEBUGGEE_HARDWARE_LEVEL (0x4)
00008555 ; +0x14 | RequestedActionOfThePacket - hwdbgActionSendVersion (0x0)
00000001 ; +0x14 | RequestedActionOfThePacket - hwdbgActionSendVersion (0x0)
00000000 ; +0x18 |
00000000 ; +0x1c |
00000000 ; +0x20 |
Expand Down

0 comments on commit 2e0a055

Please sign in to comment.