Skip to content

Реализация AXI интерфейса на SystemVerilog

Notifications You must be signed in to change notification settings

IlyaChichkov/AXI_Counter

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

62 Commits
 
 
 
 
 
 

Repository files navigation

Счетчик с AXI Slave/Master

Блок счетчика с интерфейсом AXI-4, имеющий Master и Slave порты, написанный на SystemVerilog.

Содержание

Описание

Регистры:

  • enable : r/w, запись в него 1 инициализирует burst на мастере (чтобы инициализировать заново нужно записать 0 потом 1)
  • addr_w_0 : r/w, нижняя часть для мастера
  • addr_w_1 : r/w, верхняя часть для мастера
  • length : r/w, общее число байт в burst (awlen = length / awsize), (length % 64 == 0)
  • incr : r/w, шаг счетчика
  • status : r/o, [статус_произошла_ли_транзакция, bresp], при чтении обнуляется

Разработка

Стек

  • SystemVerilog
  • VS Code
  • Xilinx Vivado 2021.2

Полезные ресурсы

Статья на Хабр про AXI4 Спецификация AXI4

Автор

Github

Email

About

Реализация AXI интерфейса на SystemVerilog

Topics

Resources

Stars

Watchers

Forks