Skip to content

Commit

Permalink
Buzzer et affichage
Browse files Browse the repository at this point in the history
Tous les affichages 7 segments sont en marche avec 4 pour les deux feux et 1 pour la machine de moore.
De plus le buzzer fait du bruit (c'est relou d'ailleurs)
  • Loading branch information
Margaux-code committed May 30, 2021
1 parent 9057644 commit 0b632b0
Show file tree
Hide file tree
Showing 80 changed files with 1,582 additions and 658 deletions.
34 changes: 34 additions & 0 deletions projet/Projet_VHDL.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -72,4 +72,38 @@ set_location_assignment PIN_C15 -to HEX[2]
set_location_assignment PIN_E15 -to HEX[1]
set_location_assignment PIN_C14 -to HEX[0]
set_global_assignment -name VHDL_FILE output_files/feu_alternant.vhd
set_location_assignment PIN_C18 -to HEX2[0]
set_location_assignment PIN_D18 -to HEX2[1]
set_location_assignment PIN_E18 -to HEX2[2]
set_location_assignment PIN_B16 -to HEX2[3]
set_location_assignment PIN_A17 -to HEX2[4]
set_location_assignment PIN_A18 -to HEX2[5]
set_location_assignment PIN_B17 -to HEX2[6]
set_location_assignment PIN_A16 -to HEX2[7]
set_global_assignment -name VHDL_FILE output_files/buzzer.vhd
set_location_assignment PIN_AA5 -to buzzer1
set_location_assignment PIN_B20 -to HEX3[0]
set_location_assignment PIN_A20 -to HEX3[1]
set_location_assignment PIN_B19 -to HEX3[2]
set_location_assignment PIN_A21 -to HEX3[3]
set_location_assignment PIN_B21 -to HEX3[4]
set_location_assignment PIN_C22 -to HEX3[5]
set_location_assignment PIN_B22 -to HEX3[6]
set_location_assignment PIN_A19 -to HEX3[7]
set_location_assignment PIN_F21 -to HEX4[0]
set_location_assignment PIN_E22 -to HEX4[1]
set_location_assignment PIN_E21 -to HEX4[2]
set_location_assignment PIN_C19 -to HEX4[3]
set_location_assignment PIN_C20 -to HEX4[4]
set_location_assignment PIN_D19 -to HEX4[5]
set_location_assignment PIN_E17 -to HEX4[6]
set_location_assignment PIN_D22 -to HEX4[7]
set_location_assignment PIN_F17 -to HEX5[7]
set_location_assignment PIN_F18 -to HEX5[0]
set_location_assignment PIN_E20 -to HEX5[1]
set_location_assignment PIN_E19 -to HEX5[2]
set_location_assignment PIN_J18 -to HEX5[3]
set_location_assignment PIN_H19 -to HEX5[4]
set_location_assignment PIN_F19 -to HEX5[5]
set_location_assignment PIN_F20 -to HEX5[6]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Binary file modified projet/Projet_VHDL.qws
Binary file not shown.
16 changes: 14 additions & 2 deletions projet/Projet_VHDL.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,12 @@ port (
led_rouge2 : buffer std_logic;
pieton_vert2 : buffer std_logic;
pieton_rouge2 : buffer std_logic;
signal HEX : OUT std_logic_vector(7 downto 0)
signal HEX : OUT std_logic_vector(7 downto 0);
HEX2 : OUT std_logic_vector(7 downto 0);
HEX3 : OUT std_logic_vector (7 downto 0);
HEX4 : OUT std_logic_vector (7 downto 0);
HEX5 : OUT std_logic_vector (7 downto 0);
buzzer1 : buffer std_logic
) ;
end entity ;

Expand All @@ -32,7 +37,9 @@ port

begin
f1: entity work.feu_alternant(rtl)
port map(clk2 => clk, HEX => HEX);
port map(clk2 => clk, HEX => HEX, HEX2 => HEX2, HEX3 => HEX3, HEX4 => HEX4);
f2 : entity work.buzzer(rtl)
port map(clk2 => clk, buzzer1 => buzzer1, clk_50m => clk_50m );
clkdiv : compteur port map (clk_50m, clk);
process(clk)

Expand All @@ -50,6 +57,8 @@ port

compter:= compter+1;
if(compter < temps_vert) then

HEX5 <= "11111001";
--Feu voiture 1 : vert
led_vert1 <= '1';
led_orange1 <= '0';
Expand All @@ -68,6 +77,7 @@ port

end if;
if (compter = temps_vert) then
HEX5 <= "10100100";
--feu voiture 1 : orange
led_vert1 <= '0';
led_orange1 <= '1';
Expand All @@ -76,6 +86,7 @@ port

end if;
if (compter > temps_vert) then
HEX5 <= "10110000";
--Feu voiture 1 : rouge
led_vert1 <= '0';
led_orange1 <= '0';
Expand All @@ -94,6 +105,7 @@ port
end if;

if (compter =temps_vert + temps_vert) then
HEX5<="10011001";
--Feu voiture 2 : orange
led_vert2 <= '0';
led_orange2 <= '1';
Expand Down
Binary file modified projet/db/Projet_VHDL.(5).cnf.cdb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.(5).cnf.hdb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.(6).cnf.cdb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.(6).cnf.hdb
Binary file not shown.
Binary file added projet/db/Projet_VHDL.(7).cnf.cdb
Binary file not shown.
Binary file added projet/db/Projet_VHDL.(7).cnf.hdb
Binary file not shown.
13 changes: 7 additions & 6 deletions projet/db/Projet_VHDL.analyze_file.qmsg
Original file line number Diff line number Diff line change
@@ -1,6 +1,7 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1622108377031 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analyze Current File Quartus Prime " "Running Quartus Prime Analyze Current File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1622108377031 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 27 11:39:36 2021 " "Processing started: Thu May 27 11:39:36 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1622108377031 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1622108377031 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Projet_VHDL -c Projet_VHDL --analyze_file=\"C:/Users/marga/OneDrive/Cours ING 2/VHDL/projet/Projet_VHDL.vhd\" " "Command: quartus_map --read_settings_files=on --write_settings_files=off Projet_VHDL -c Projet_VHDL --analyze_file=\"C:/Users/marga/OneDrive/Cours ING 2/VHDL/projet/Projet_VHDL.vhd\"" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1622108377031 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Design Software" 0 -1 1622108377916 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Design Software" 0 -1 1622108377916 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analyze Current File 0 s 1 Quartus Prime " "Quartus Prime Analyze Current File was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4787 " "Peak virtual memory: 4787 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1622108425188 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 27 11:40:25 2021 " "Processing ended: Thu May 27 11:40:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1622108425188 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:49 " "Elapsed time: 00:00:49" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1622108425188 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:02:34 " "Total CPU time (on all processors): 00:02:34" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1622108425188 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1622108425188 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1622212512983 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analyze Current File Quartus Prime " "Running Quartus Prime Analyze Current File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1622212512984 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 28 16:35:12 2021 " "Processing started: Fri May 28 16:35:12 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1622212512984 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1622212512984 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Projet_VHDL -c Projet_VHDL --analyze_file=\"C:/Users/marga/OneDrive/Cours ING 2/Projet_VHDL/projet/output_files/buzzer.vhd\" " "Command: quartus_map --read_settings_files=on --write_settings_files=off Projet_VHDL -c Projet_VHDL --analyze_file=\"C:/Users/marga/OneDrive/Cours ING 2/Projet_VHDL/projet/output_files/buzzer.vhd\"" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1622212512984 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Design Software" 0 -1 1622212513529 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Design Software" 0 -1 1622212513529 ""}
{ "Error" "EVRFX_VHDL_SYNTAX_ERROR" "\"if\"; expecting \"(\", or \"'\", or \".\" feu_alternant.vhd(50) " "VHDL syntax error at feu_alternant.vhd(50) near text \"if\"; expecting \"(\", or \"'\", or \".\"" { } { { "output_files/feu_alternant.vhd" "" { Text "C:/Users/marga/OneDrive/Cours ING 2/Projet_VHDL/projet/output_files/feu_alternant.vhd" 50 0 0 } } } 0 10500 "VHDL syntax error at %2!s! near text %1!s!" 0 0 "Design Software" 0 -1 1622212523952 ""}
{ "Error" "EQEXE_ERROR_COUNT" "Analyze Current File 1 1 Quartus Prime " "Quartus Prime Analyze Current File was unsuccessful. 1 error, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "4775 " "Peak virtual memory: 4775 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1622212524004 ""} { "Error" "EQEXE_END_BANNER_TIME" "Fri May 28 16:35:24 2021 " "Processing ended: Fri May 28 16:35:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1622212524004 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1622212524004 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:27 " "Total CPU time (on all processors): 00:00:27" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1622212524004 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1622212524004 ""}
14 changes: 7 additions & 7 deletions projet/db/Projet_VHDL.asm.qmsg
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1622131236222 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1622131236229 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 27 18:00:36 2021 " "Processing started: Thu May 27 18:00:36 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1622131236229 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1622131236229 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Projet_VHDL -c Projet_VHDL " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Projet_VHDL -c Projet_VHDL" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1622131236229 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1622131236646 ""}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1622131238853 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1622131239008 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4681 " "Peak virtual memory: 4681 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1622131240192 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 27 18:00:40 2021 " "Processing ended: Thu May 27 18:00:40 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1622131240192 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1622131240192 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1622131240192 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1622131240192 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1622379058017 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1622379058029 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 30 14:50:57 2021 " "Processing started: Sun May 30 14:50:57 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1622379058029 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1622379058029 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Projet_VHDL -c Projet_VHDL " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Projet_VHDL -c Projet_VHDL" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1622379058029 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1622379058672 ""}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1622379062236 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1622379062494 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4679 " "Peak virtual memory: 4679 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1622379064175 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 30 14:51:04 2021 " "Processing ended: Sun May 30 14:51:04 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1622379064175 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1622379064175 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1622379064175 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1622379064175 ""}
Binary file modified projet/db/Projet_VHDL.asm.rdb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.asm_labs.ddb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.cmp.bpm
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.cmp.cdb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.cmp.hdb
Binary file not shown.
Binary file modified projet/db/Projet_VHDL.cmp.idb
Binary file not shown.
Loading

0 comments on commit 0b632b0

Please sign in to comment.