Skip to content

Commit

Permalink
use chisel version 6.2.0
Browse files Browse the repository at this point in the history
  • Loading branch information
Martoni committed Mar 7, 2024
1 parent ae864fc commit 8a655a2
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 3 deletions.
4 changes: 2 additions & 2 deletions README.md
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# FpgaMacro
A Chisel3 package to describe verilog FPGA template and macro for hardened FPGA modules
A [Chisel](https://www.chisel-lang.org/) package to describe verilog FPGA template and macro for hardened FPGA modules

# Install

Expand All @@ -14,7 +14,7 @@ $ sbt publishLocal
Then add these lines in your `build.sbt` project :
```scala
//publish local https://github.com/Martoni/fpgamacro.git
libraryDependencies ++= Seq("org.armadeus" %% "fpgamacro" % "0.2.2")
libraryDependencies ++= Seq("org.armadeus" %% "fpgamacro" % "6.2.0")
```

# Library
Expand Down
2 changes: 1 addition & 1 deletion build.sbt
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ version := "6.1.0"
organization := "Martoni"

val majorChiselVersion = "6"
val minorChiselVersion = "1.0"
val minorChiselVersion = "2.0"

val chiselVersion = majorChiselVersion + "." + minorChiselVersion

Expand Down

0 comments on commit 8a655a2

Please sign in to comment.