Skip to content

Commit

Permalink
Apply suggestions from code review
Browse files Browse the repository at this point in the history
Co-authored-by: s-martin <s-martin@users.noreply.github.com>
  • Loading branch information
AlvinSchiller and s-martin committed Apr 23, 2024
1 parent 53eac6a commit 05ece20
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions components/gpio_control/README.md
Original file line number Diff line number Diff line change
Expand Up @@ -180,7 +180,7 @@ functionCall1: functionCallVolU
functionCall2: functionCallVolD
```

* **enabled**: This needs to be `True` for the extended shutdown button to work.
* **enabled**: This needs to be `True` for the rotary encoder to work.
* **Pin1**: GPIO number corresponding to rotary direction "clockwise" ('CLK')
* **Pin2**: GPIO number corresponding to rotary direction "counter clockwise" ('DT')
* **functionCall1**: function called for every rotation step corresponding to rotary direction "clockwise". See below for passed arguments. See [function documentation below](#functions).
Expand All @@ -206,7 +206,7 @@ Note that the old configuration entries PinUp/PinDown and functionCallUp/functio


```bash
[RotatrySeekingControl]
[RotarySeekingControl]
enabled: True
Type: RotaryEncoder
Pin1: 22
Expand Down

0 comments on commit 05ece20

Please sign in to comment.