Skip to content

Commit

Permalink
support PCIE Gen4 for u55n xdma shell
Browse files Browse the repository at this point in the history
  • Loading branch information
Quarky93 committed Apr 15, 2023
1 parent 04038cc commit a53ebdb
Show file tree
Hide file tree
Showing 12 changed files with 5,683 additions and 366 deletions.
31 changes: 18 additions & 13 deletions hw/shells/xilinx_u55n/xdma_gen3x8/build.tcl
Original file line number Diff line number Diff line change
@@ -1,29 +1,34 @@
set script_path [file dirname [file normalize [info script]]]

create_project -in_memory -part xcu55n-fsvh2892-2L-e
create_project -part xcu55n-fsvh2892-2L-e synth synth
set_property source_mgmt_mode All [current_project]

# -- [READ FILES] -------------------------------------------------------------
file mkdir ./shell/
file mkdir ./user/
file copy ${script_path}/shell.bd ./shell/shell.bd
file copy ${script_path}/user.bd ./user/user.bd
read_bd ./shell/shell.bd
read_bd ./user/user.bd

read_xdc "${script_path}/io.xdc"
read_xdc "${script_path}/misc.xdc"
read_xdc "${script_path}/floorplan.xdc"
read_verilog "${script_path}/top.v"
import_files ${script_path}/shell.bd
import_files ${script_path}/user.bd
import_files "${script_path}/io.xdc"
import_files "${script_path}/misc.xdc"
import_files "${script_path}/floorplan.xdc"
import_files "${script_path}/top.v"
# -----------------------------------------------------------------------------

# -- [GENERATE BDS] -----------------------------------------------------------
set_property synth_checkpoint_mode Hierarchical [get_files shell.bd]
set_property synth_checkpoint_mode Hierarchical [get_files user.bd]
generate_target all [get_files shell.bd]
generate_target all [get_files user.bd]
# -----------------------------------------------------------------------------

# -- [COMPILE] ----------------------------------------------------------------
synth_design -top top
export_ip_user_files -of_objects [get_files shell.bd] -no_script -sync -force -quiet
export_ip_user_files -of_objects [get_files user.bd] -no_script -sync -force -quiet
create_ip_run [get_files -of_objects [get_fileset sources_1] shell.bd]
create_ip_run [get_files -of_objects [get_fileset sources_1] user.bd]

launch_runs synth_1 -jobs 16
wait_on_runs synth_1

open_run synth_1
write_checkpoint -force ./post_synth_xilinx_u55n_xdma_gen3x8.dcp
opt_design -directive Explore
place_design -directive Auto_1
Expand Down
Loading

0 comments on commit a53ebdb

Please sign in to comment.