Skip to content

TwinT/RTL

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 

Repository files navigation

RTL

Ejemplos con VHDL

Prerequisitos

  • GHDL
  • gtkwave

counter

Con hacer make dentro del directorio tendría que verse la simulación de un contador módulo M programable en gtkwave.

Nota: Los ajustes hechos en gtkwave persisten entre simulaciones, si se salvan haciendo Write Save File. Si se hace un make clean se pierden dichos cambios. Este comportamiento puede modificarse en el Makefile.

About

Ejemplos con VHDL

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published