Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

How to convert vhdl to other formats #17

Open
tmeissner opened this issue Sep 28, 2020 · 0 comments
Open

How to convert vhdl to other formats #17

tmeissner opened this issue Sep 28, 2020 · 0 comments
Labels

Comments

@tmeissner
Copy link
Member

ref: https://github.com/ghdl/ghdl/issues/1174#issuecomment-700057762
tags: [ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl]

I made a list of "How to convert vhdl to ..." in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed.

The format translation can be done with the yosys's write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated).

In the following examples, ghdl is being used, in what I believe, is the most straightforward way. See ghdl-yosys-plugin#usage for a workflow more adequate for larger projects.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant