Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

VHDL block diagrams using netlistsvg #25

Closed
nobodywasishere opened this issue Dec 1, 2020 · 1 comment
Closed

VHDL block diagrams using netlistsvg #25

nobodywasishere opened this issue Dec 1, 2020 · 1 comment
Labels
cat: Articles Articles, reports, books...

Comments

@nobodywasishere
Copy link

nobodywasishere commented Dec 1, 2020

ref: https://nobodywasishere.github.io/netlistsvg/
tags: [VHDL, netlistsvg]
related: [17]

Block diagrams are a useful way of presenting state machines and other HDL code in a visual manner. They are useful for learning how the code works, documenting it for future programmers and reports, or debugging code that may not be working as expected.

While tools for generating block diagrams are already included in most HDL development environments, these are typically proprietary (though they may be free as in beer, they’re not free as in freedom). Here is how to generate a block diagram from VHDL using netlistsvg and the open source FPGA toolchain on Linux.

@nobodywasishere nobodywasishere added the cat: Articles Articles, reports, books... label Dec 1, 2020
@eine
Copy link
Contributor

eine commented Dec 1, 2020

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
cat: Articles Articles, reports, books...
Projects
None yet
Development

No branches or pull requests

2 participants