Skip to content
/ OSVVM Public
forked from OSVVM/OSVVM

Open Source VHDL Verification Methodology (OSVVM) Repository

Notifications You must be signed in to change notification settings

VLSI-EDA/OSVVM

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

71 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

"Open Source VHDL Verification Methodology" (OSVVM) Repository

https://gitter.im/OSVVM/Lobby Latest tag Artistic License 2.0


Open Source VHDL Verification Methodology (OSVVM) is an intelligent testbench methodology that allows mixing of “Intelligent Coverage” (coverage driven randomization) with directed, algorithmic, file based, and constrained random test approaches. The methodology can be adopted in part or in whole as needed. With OSVVM you can add advanced verification methodologies to your current testbench without having to learn a new language or throw out your existing testbench or testbench models.

Source: http://www.osvvm.org/
OSVVM Blog: http://www.synthworks.com/blog/osvvm/
License: Artistic License 2.0
Copyright: Copyright © 2006-2016 by SynthWorks Design Inc.

Release History

For current release information see osvvm_release_notes.pdf

The following has a bad habit of falling behind the current release:

  • Apr-2018 - 2018.04 Minor updates to AlertLogPkg, CoveragePkg, ScoreboardGenericPkg, TbUtilPkg, MessagePkg
  • May-2017 - 2017.05 Minor additions to AlertLogPkg, CoveragePkg, and ScoreboardGenericPkg
  • Nov-2016 - 2016.11 Added VendorCovApiPkg, ScoreboardGenericPkg, TbUtilPkg, ResolutionPkg
  • Jan-2016 - 2016.01 Fix limit of 32 AlertLogIDs, Updates for GHDL (Purity and L.all(L'left)),
  • Jul-2015 - 2015.06 Addition of MemoryPkg
  • Mar-2015 - 2015.03 Bug fixes to AlertLogPkg (primarily ClearAlerts, but also matching names)
  • Jan-2015 - 2015.01 Not here. Addition of AlertLogPkg, TranscriptPkg,OsvvmContext, and OsvvmGlobalPkg.
  • Dec-2014 - 2014.07a Fixed memory leak in CoveragePkg.Deallocate. Replaced initialized pointers with initialization functions
  • Jul-2014 - 2014.07 Not here. Added names to coverage bins. Added option during WriteBin so that a bin prints PASSED if its count is greater than the coverage goal, otherwise FAILED.
  • Jan-2014 - 2014.01 RandomPkg: RandTime, RandIntV, RandRealV, RandTimeV. CoveragePkg: Support merging of coverage bins.
  • May-2013 - 2013.05 RandomPkg: Big Vector Randomization.

Starting with 2016.01, this repository was handed off to Jim Lewis (OSVVM Developer) and became the GIT site for OSVVM
Releases prior to 2016.01 were uploaded by Patrick Lehmann

About

Open Source VHDL Verification Methodology (OSVVM) Repository

Resources

Stars

Watchers

Forks

Packages

No packages published

Languages

  • VHDL 99.5%
  • Stata 0.5%