Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ERROR: Can't open ABC output file. #4522

Open
exhaust-create opened this issue Aug 5, 2024 · 3 comments
Open

ERROR: Can't open ABC output file. #4522

exhaust-create opened this issue Aug 5, 2024 · 3 comments
Labels
pending-verification This issue is pending verification and/or reproduction

Comments

@exhaust-create
Copy link

Version

Yosys 0.27+3 (git sha1 b58664d, x86_64-conda-linux-gnu-cc 11.2.0 -fvisibility-inlines-hidden -fmessage-length=0 -march=nocona -mtune=haswell -ftree-vectorize -fPIC -fstack-protector-strong -fno-plt -O2 -ffunction-sections -fdebug-prefix-map=/root/conda-eda/conda-eda/workdir/conda-env/conda-bld/yosys_1678231239250/work=/usr/local/src/conda/yosys-0.27_4_gb58664d44 -fdebug-prefix-map=/home/cmj/openroad/conda-yosys=/usr/local/src/conda-prefix -fPIC -Os -fno-merge-constants)

On which OS did this happen?

Linux

Reproduction Steps

I am using Hammer to utilize Yosys to synthesize TinyRocket with ASAP7. However, an error occurred and the detailed information is shown at ucb-bar/chipyard#1936. What should I do?

Feel free to ask for more related files or information.

Expected Behavior

Successfully synthesize TinyRocket.

Actual Behavior

ERROR: Can't open ABC output file, because of the lack of output.blif.

@exhaust-create exhaust-create added the pending-verification This issue is pending verification and/or reproduction label Aug 5, 2024
@Ravenslofty
Copy link
Collaborator

Can you reproduce this with a version of Yosys which isn't over a year old?

@exhaust-create
Copy link
Author

Can you reproduce this with a version of Yosys which isn't over a year old?

I have tried to update Yosys to version 0.41, but the same error came out again.

@exhaust-create
Copy link
Author

Can you reproduce this with a version of Yosys which isn't over a year old?

I have updated the detailed information about the issue, you can check it further.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
pending-verification This issue is pending verification and/or reproduction
Projects
None yet
Development

No branches or pull requests

2 participants