Skip to content

Issues: YosysHQ/yosys

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Port names starting with '%' cause stack buffer overflow during error reporting pending-verification This issue is pending verification and/or reproduction
#4599 opened Sep 13, 2024 by mattyoung101
verific: wrong source attribute for $add cell with binary literal operand pending-verification This issue is pending verification and/or reproduction
#4597 opened Sep 12, 2024 by RCoeurjoly
Synthesis with synth_xilinx crashes in TECHMAP pass pending-verification This issue is pending verification and/or reproduction
#4590 opened Sep 9, 2024 by marzoul
Github tag style feature-request
#4586 opened Sep 7, 2024 by hpretl
Problems with 3 bit add not passing eqy pending-verification This issue is pending verification and/or reproduction
#4573 opened Aug 30, 2024 by oharboe
ASTNode::simplify has supralinear performance with deep nesting of expressions pending-verification This issue is pending verification and/or reproduction
#4562 opened Aug 22, 2024 by whitequark
Cannot select and cutpoint blackbox modules pending-verification This issue is pending verification and/or reproduction
#4561 opened Aug 22, 2024 by RCoeurjoly
Double free on exit when design is saved (pyosys+gcc LTO only) pending-verification This issue is pending verification and/or reproduction
#4535 opened Aug 13, 2024 by ACharlyR
memory_libmap creates dangling pins pending-verification This issue is pending verification and/or reproduction
#4529 opened Aug 7, 2024 by gzz2000
ERROR: Can't open ABC output file. pending-verification This issue is pending verification and/or reproduction
#4522 opened Aug 5, 2024 by exhaust-create
Autoname seems to get stuck in a loop and consume all the memory on the system pending-verification This issue is pending verification and/or reproduction
#4509 opened Jul 25, 2024 by QuantamHD
Custom Yosys Passes Result in Faulty Synthesis and Simulation Errors pending-verification This issue is pending verification and/or reproduction
#4491 opened Jul 15, 2024 by LoSyTe
Can't build from 0.42 tarball pending-verification This issue is pending verification and/or reproduction
#4470 opened Jun 29, 2024 by spth
ProTip! Updated in the last three days: updated:>2024-09-10.