Skip to content

Commit

Permalink
fix: can fmp register, references to fifo 0
Browse files Browse the repository at this point in the history
  • Loading branch information
marti157 authored and andelf committed May 14, 2024
1 parent 93f303f commit 038b858
Show file tree
Hide file tree
Showing 3 changed files with 21 additions and 21 deletions.
14 changes: 7 additions & 7 deletions data/registers/can_l1.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ block/CAN:
byte_offset: 8
fieldset: TSTATR
- name: RFIFO
description: CAN receive FIFO 0 register.
description: CAN receive FIFO register.
byte_offset: 12
fieldset: RFIFO
array:
Expand Down Expand Up @@ -400,22 +400,22 @@ fieldset/INTENR:
bit_offset: 17
bit_size: 1
fieldset/RFIFO:
description: CAN receive FIFO 0/1 register.
description: CAN receive FIFO register.
fields:
- name: FMP0
description: FIFO 0 message pending.
- name: FMP
description: FIFO message pending.
bit_offset: 0
bit_size: 2
- name: FULL
description: FIFO 0 full.
description: FIFO full.
bit_offset: 3
bit_size: 1
- name: FOVR
description: FIFO 0 overrun.
description: FIFO overrun.
bit_offset: 4
bit_size: 1
- name: RFOM
description: Release FIFO 0 output mailbox.
description: Release FIFO output mailbox.
bit_offset: 5
bit_size: 1
fieldset/RXMDHR:
Expand Down
14 changes: 7 additions & 7 deletions data/registers/can_v1.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ block/CAN:
byte_offset: 8
fieldset: TSTATR
- name: RFIFO
description: CAN receive FIFO 0 register.
description: CAN receive FIFO register.
byte_offset: 12
fieldset: RFIFO
array:
Expand Down Expand Up @@ -340,22 +340,22 @@ fieldset/INTENR:
bit_offset: 17
bit_size: 1
fieldset/RFIFO:
description: CAN receive FIFO 0/1 register.
description: CAN receive FIFO register.
fields:
- name: FMP0
description: FIFO 0 message pending.
- name: FMP
description: FIFO message pending.
bit_offset: 0
bit_size: 2
- name: FULL
description: FIFO 0 full.
description: FIFO full.
bit_offset: 3
bit_size: 1
- name: FOVR
description: FIFO 0 overrun.
description: FIFO overrun.
bit_offset: 4
bit_size: 1
- name: RFOM
description: Release FIFO 0 output mailbox.
description: Release FIFO output mailbox.
bit_offset: 5
bit_size: 1
fieldset/RXMDHR:
Expand Down
14 changes: 7 additions & 7 deletions data/registers/can_v3.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ block/CAN:
byte_offset: 8
fieldset: TSTATR
- name: RFIFO
description: CAN receive FIFO 0 register.
description: CAN receive FIFO register.
byte_offset: 12
fieldset: RFIFO
array:
Expand Down Expand Up @@ -348,22 +348,22 @@ fieldset/INTENR:
bit_offset: 17
bit_size: 1
fieldset/RFIFO:
description: CAN receive FIFO 0/1 register.
description: CAN receive FIFO register.
fields:
- name: FMP0
description: FIFO 0 message pending.
- name: FMP
description: FIFO message pending.
bit_offset: 0
bit_size: 2
- name: FULL
description: FIFO 0 full.
description: FIFO full.
bit_offset: 3
bit_size: 1
- name: FOVR
description: FIFO 0 overrun.
description: FIFO overrun.
bit_offset: 4
bit_size: 1
- name: RFOM
description: Release FIFO 0 output mailbox.
description: Release FIFO output mailbox.
bit_offset: 5
bit_size: 1
fieldset/RXMDHR:
Expand Down

0 comments on commit 038b858

Please sign in to comment.