Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Object model updates for AHB and AXI #2427

Merged
merged 5 commits into from
Apr 27, 2020
Merged

Object model updates for AHB and AXI #2427

merged 5 commits into from
Apr 27, 2020

Conversation

mhtwn
Copy link
Contributor

@mhtwn mhtwn commented Apr 21, 2020

Related issue:

Type of change: other enhancement

Impact: no functional change

Development Phase: implementation

Release Notes

An example representation of a port with AXI protocol:

      "signalNamePrefix" : "axi4_mem_port",
      "width" : 32,
      "protocol" : {
        "specification" : {
          "name" : "AXI Protocol",
          "version" : "4",
          "_types" : [ "OMSpecification" ]
        },
        "_types" : [ "AXI", "AMBA", "OMProtocol" ]
      },

An example representation of a port with AHB-Lite protocol:

      "signalNamePrefix" : "ahb_sys_port",
      "width" : 32,
      "protocol" : {
        "specification" : {
          "name" : "AHB Lite Protocol",
          "version" : "3",
          "_types" : [ "OMSpecification" ]
        },
        "_types" : [ "AHB", "AMBA", "OMProtocol" ]
      },

@mwachs5
Copy link
Contributor

mwachs5 commented Apr 22, 2020

I'd like @aisha-w-sifive and/or @jmilstead-sifive feedback on this...

@mhtwn mhtwn requested a review from terpstra April 22, 2020 18:47
@aisha-w-sifive
Copy link

So it is "OMAXI4", "OMAXI4_Lite", "OMAHB", "OMAHB_Lite"? If so, LGTM, should run fine in docs.

@jmilstead-sifive
Copy link

jmilstead-sifive commented Apr 22, 2020

Maybe a naïve question, but why include both AXI4 and AMBA if AXI4 extends AMBA? These are all AMBA. Is this to allow for future non-AMBA variants of AXI/APB/AHB?

case (AXI4Protocol, AXI4SubProtocol) => "AXI Protocol"
case (AXI4Protocol, AXI4LiteSubProtocol) => "AXI Lite Protocol"
case (APBProtocol, APBSubProtocol) => "APB Protocol"
case (TLProtocol, TL_UHSubProtocol) => "TileLink specification"
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

why is this called "specification" when the others are called "Protocol"?

Copy link
Contributor Author

@mhtwn mhtwn Apr 24, 2020

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Good point - I haven't edited the description for TL, but I can update it - do you think mentioning UL, UH and CS will also be a good idea?

Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

for that I'd defer to @hcook or @terpstra

@mhtwn mhtwn merged commit fca09ed into master Apr 27, 2020
@mhtwn mhtwn deleted the ahb_axi_om_update branch April 27, 2020 23:02
@mhtwn mhtwn restored the ahb_axi_om_update branch April 27, 2020 23:17
This pull request was closed.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

5 participants