Skip to content

Commit

Permalink
v0.4.2
Browse files Browse the repository at this point in the history
  • Loading branch information
Paebbels committed Jan 16, 2022
2 parents 13857e4 + 50b904f commit 9e32a48
Show file tree
Hide file tree
Showing 33 changed files with 71 additions and 54 deletions.
17 changes: 13 additions & 4 deletions .github/workflows/Pipeline.yml
Original file line number Diff line number Diff line change
Expand Up @@ -121,10 +121,19 @@ jobs:
with:
package: ${{ fromJson(needs.Params.outputs.params).artifacts.package }}
remaining: |
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.7
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.8
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.9
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-3.10
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-ubuntu-3.7
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-ubuntu-3.8
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-ubuntu-3.9
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-ubuntu-3.10
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-windows-3.7
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-windows-3.8
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-windows-3.9
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-windows-3.10
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-msys2-3.9
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-macos-3.7
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-macos-3.8
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-macos-3.9
${{ fromJson(needs.Params.outputs.params).artifacts.unittesting }}-macos-3.10
${{ fromJson(needs.Params.outputs.params).artifacts.coverage }}
${{ fromJson(needs.Params.outputs.params).artifacts.typing }}
${{ fromJson(needs.Params.outputs.params).artifacts.doc }}
4 changes: 3 additions & 1 deletion .idea/pyEDAA.ProjectModel.iml

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

7 changes: 7 additions & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -98,6 +98,13 @@ for file in designA.Files(fileType=VHDLSourceFile):
print(f" {file.Path}")
```

# Consumers

This layer is used by:

* 🚧 pyEDAA.Workflow


# References

* [Paebbels/pyIPCMI: pyIPCMI/Base/Project.py](https://github.com/Paebbels/pyIPCMI/blob/master/pyIPCMI/Base/Project.py)
Expand Down
26 changes: 13 additions & 13 deletions doc/Dependency.rst
Original file line number Diff line number Diff line change
Expand Up @@ -24,15 +24,15 @@ Dependency
pyEDAA.ProjectModel Package
***************************

+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+==========================================================+=============+===========================================================================================+=================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.8.1 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ | *None* |
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+
| `pyVHDLModel <https://github.com/VHDL/pyVHDLModel>`__ | ≥0.13.0 | `Apache License, 2.0 <https://github.com/VHDL/pyVHDLModel/blob/master/LICENSE>`__ | |
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+
| `pySVModel <https://github.com/edaa-org/pySVModel>`__ | ≥0.3.1 | `Apache License, 2.0 <https://github.com/VHDL/pyVHDLModel/blob/master/LICENSE>`__ | |
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+==========================================================+=============+===========================================================================================+========================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.2 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ | *None* |
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+
| `pyVHDLModel <https://github.com/VHDL/pyVHDLModel>`__ | ≥0.14.2 | `Apache License, 2.0 <https://github.com/VHDL/pyVHDLModel/blob/master/LICENSE>`__ | * `pyTooling <https://github.com/pyTooling/pyTooling>`__ (`Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__) |
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+
| `pySVModel <https://github.com/edaa-org/pySVModel>`__ | ≥0.3.1 | `Apache License, 2.0 <https://github.com/VHDL/pyVHDLModel/blob/master/LICENSE>`__ | * `pyTooling <https://github.com/pyTooling/pyTooling>`__ (`Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__) |
+----------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+


.. _dependency-testing:
Expand Down Expand Up @@ -65,7 +65,7 @@ the mandatory dependencies too.
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `Coverage <https://github.com/nedbat/coveragepy>`__ | ≥6.2 | `Apache License, 2.0 <https://github.com/nedbat/coveragepy/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `mypy <https://github.com/python/mypy>`__ | ≥0.930 | `MIT <https://github.com/python/mypy/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `mypy <https://github.com/python/mypy>`__ | ≥0.931 | `MIT <https://github.com/python/mypy/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `lxml <https://github.com/lxml/lxml>`__ | ≥4.6.4 | `BSD 3-Clause <https://github.com/lxml/lxml/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
Expand Down Expand Up @@ -95,15 +95,15 @@ the mandatory dependencies too.
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+=================================================================================================+==============+==========================================================================================================+======================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.8.1 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.2 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `Sphinx <https://github.com/sphinx-doc/sphinx>`__ | ≥4.3.0 | `BSD 3-Clause <https://github.com/sphinx-doc/sphinx/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `sphinx_btd_theme <https://github.com/buildthedocs/sphinx.theme>`__ | ≥0.5.2 | `MIT <https://github.com/buildthedocs/sphinx.theme/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| !! `sphinx_fontawesome <https://github.com/fraoustin/sphinx_fontawesome>`__ | ≥0.0.6 | `GPL 2.0 <https://github.com/fraoustin/sphinx_fontawesome/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `sphinx_autodoc_typehints <https://github.com/agronholm/sphinx-autodoc-typehints>`__ | ≥1.12.0 | `MIT <https://github.com/agronholm/sphinx-autodoc-typehints/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `sphinx_autodoc_typehints <https://github.com/agronholm/sphinx-autodoc-typehints>`__ | ≥1.14.1 | `MIT <https://github.com/agronholm/sphinx-autodoc-typehints/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+


Expand Down Expand Up @@ -131,7 +131,7 @@ install the mandatory dependencies too.
+----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+============================================================================+==============+==========================================================================================================+======================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.8.1 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.2 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
+----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `wheel <https://github.com/pypa/wheel>`__ | any | `MIT <https://github.com/pypa/wheel/blob/main/LICENSE.txt>`__ | *Not yet evaluated.* |
+----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
Expand Down
4 changes: 2 additions & 2 deletions doc/index.rst
Original file line number Diff line number Diff line change
Expand Up @@ -175,8 +175,8 @@ License
:caption: Appendix
:hidden:

Coverage Report ➚ <https://edaa-org.GitHub.io/pyEDAA.ProjectModel/coverage/>
Static Type Check Report ➚ <https://edaa-org.GitHub.io/pyEDAA.ProjectModel/typing/>
Coverage Report ➚ <coverage/index>
Static Type Check Report ➚ <typing/index>
License
Doc-License
Glossary
Expand Down
4 changes: 2 additions & 2 deletions doc/requirements.txt
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
-r ../requirements.txt

pyTooling>=1.8.1
pyTooling>=1.9.2

# Enforce latest version on ReadTheDocs
sphinx>=4.3.0
Expand All @@ -9,4 +9,4 @@ sphinx>=4.3.0
sphinxcontrib-mermaid>=0.7.1
autoapi>=2.0.1
sphinx_fontawesome>=0.0.6
sphinx_autodoc_typehints>=1.12.0
sphinx_autodoc_typehints>=1.14.1
2 changes: 1 addition & 1 deletion pyEDAA/ProjectModel/Altera/Quartus.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,7 @@
# #
# License: #
# ==================================================================================================================== #
# Copyright 2017-2021 Patrick Lehmann - Boetzingen, Germany #
# Copyright 2017-2022 Patrick Lehmann - Boetzingen, Germany #
# #
# Licensed under the Apache License, Version 2.0 (the "License"); #
# you may not use this file except in compliance with the License. #
Expand Down
Loading

0 comments on commit 9e32a48

Please sign in to comment.