Skip to content

Commit

Permalink
Merge pull request #100 from miek/change_default_phy
Browse files Browse the repository at this point in the history
gateware.platform: update default USB PHY to aux/host
  • Loading branch information
antoinevg authored Jun 26, 2024
2 parents f5c7f30 + 7b3d24c commit 760a72f
Show file tree
Hide file tree
Showing 12 changed files with 12 additions and 12 deletions.
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_1.py
Original file line number Diff line number Diff line change
Expand Up @@ -49,7 +49,7 @@ class CynthionPlatformRev0D1(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our target PHY.
default_usb_connection = "target_phy"
default_usb_connection = "host_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_2.py
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ class CynthionPlatformRev0D2(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our target PHY.
default_usb_connection = "target_phy"
default_usb_connection = "host_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_3.py
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ class CynthionPlatformRev0D3(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our target PHY.
default_usb_connection = "target_phy"
default_usb_connection = "host_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_4.py
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ class CynthionPlatformRev0D4(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our target PHY.
default_usb_connection = "target_phy"
default_usb_connection = "host_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_5.py
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ class CynthionPlatformRev0D5(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our target PHY.
default_usb_connection = "target_phy"
default_usb_connection = "host_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_6.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev0D6(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r0_7.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev0D7(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r1_0.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev1D0(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r1_1.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev1D1(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r1_2.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev1D2(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r1_3.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev1D3(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down
2 changes: 1 addition & 1 deletion cynthion/python/src/gateware/platform/cynthion_r1_4.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ class CynthionPlatformRev1D4(CynthionPlatform):
speed = os.getenv("ECP5_SPEED_GRADE", "8")

# By default, assume we'll be connecting via our control PHY.
default_usb_connection = "control_phy"
default_usb_connection = "aux_phy"

#
# Preferred DRAM bus I/O (de)-skewing constants.
Expand Down

0 comments on commit 760a72f

Please sign in to comment.