Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Upgrade to Yosys version 0.36.0 #246

Merged
merged 4 commits into from
Dec 18, 2023
Merged

Upgrade to Yosys version 0.36.0 #246

merged 4 commits into from
Dec 18, 2023

Conversation

mithro
Copy link
Member

@mithro mithro commented Dec 14, 2023

YosysHQ/yosys@35a0568...8f07a0d

OpenROAD-flow-scripts is currently targetting this Yosys version as well.

YosysHQ/yosys@35a0568...8f07a0d

OpenROAD-flow-scripts is currently targetting this Yosys version as
well.

Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
@mithro
Copy link
Member Author

mithro commented Dec 14, 2023

Step #1: 2 warnings generated.
Step #1: ERROR: /builder/home/.cache/bazel/_bazel_root/eab0d61a99b6696edb3d2aff87b585e8/external/at_clifford_yosys/BUILD.bazel:464:8: Executing genrule @at_clifford_yosys//:peepopt_pm_h failed: missing input file 'external/at_clifford_yosys/passes/pmgen/peepopt_shiftmul.pmg', owner: '@at_clifford_yosys//:passes/pmgen/peepopt_shiftmul.pmg'
Step #1: ERROR: /builder/home/.cache/bazel/_bazel_root/eab0d61a99b6696edb3d2aff87b585e8/external/at_clifford_yosys/BUILD.bazel:464:8: Executing genrule @at_clifford_yosys//:peepopt_pm_h failed: 1 input file(s) do not exist
Step #1: ERROR: /workspace/synthesis/tests/BUILD:128:14 Executing genrule //synthesis/tests:build-verilog_counter-asap7-sc7p5t_rev27_lvt_ccs_tt-synth_0__deps failed: 1 input file(s) do not exist
Step #1: INFO: Elapsed time: 252.964s, Critical Path: 5.67s
Step #1: INFO: 3072 processes: 1024 remote cache hit, 2047 internal, 1 local.
Step #1: FAILED: Build did NOT complete successfully
Step #1: FAILED: Build did NOT complete successfully
Step #1: INFO: Streaming build results to: https://app.buildbuddy.io/invocation/2e1679a8-9ad2-11ee-8dee-0242c0a80a02
Step #1: FAILED: Build did NOT complete successfully
Step #1: bazel build --build_metadata=USER=mithro     --config=ciremotebuild     --bes_results_url=https://app.buildbuddy.io/invocation/ --invocation_id=2e1679a8-9ad2-11ee-8dee-0242c0a80a02 //... @at_clifford_icestorm//... @at_clifford_yosys//... @com_github_westes_flex//... @com_github_fmtlib_fmt//... @com_github_gabime_spdlog//... @com_github_libbacktrace//... @com_github_ninja_build_ninja//... @com_github_quantamhd_lemon//... @com_github_yosyshq_nextpnr//... @com_github_yosyshq_prjtrellis//... @com_github_yosyshq_prjtrellis_db//... @com_google_skywater_pdk//... @com_google_skywater_pdk_sky130_fd_sc_ms//... @com_google_skywater_pdk_sky130_fd_sc_ls//... @com_google_skywater_pdk_sky130_fd_sc_lp//... @com_google_skywater_pdk_sky130_fd_sc_hvl//... @com_google_skywater_pdk_sky130_fd_sc_hs//... @com_google_skywater_pdk_sky130_fd_sc_hdll//... @com_google_skywater_pdk_sky130_fd_sc_hd//... @com_google_skywater_pdk_sky130_fd_pr//... @com_google_skywater_pdk_sky130_fd_io//... @com_icarus_iverilog//... @com_opencircuitdesign_magic//... @com_opencircuitdesign_netgen//... @edu_berkeley_abc//... @net_sourceforge_ngspice//... @net_zlib//... @org_fftw//... @org_gnu_bison//... @org_gnu_glpk//... @org_gnu_gperf//... @org_gnu_m4//... @org_gnu_readline//... @org_llvm_openmp//... @org_pcre_ftp//... @org_nixos_patchelf//... @org_sourceware_bzip2//... @org_sourceware_libffi//... @org_swig//... @org_theopenroadproject_asap7_pdk_r1p7//... @org_theopenroadproject_asap7sc7p5t_28//... @pybind11//... @rules_pkg//... @tk_tcl//...
Finished Step #1
ERROR
ERROR: build step 1 "gcr.io/cloud-builders/bazel" failed: step exited with non-zero status: 1

Signed-off-by: Tim 'mithro' Ansell <tansell@google.com>
Signed-off-by: Tim 'mithro' Ansell <tansell@google.com>
Signed-off-by: Tim 'mithro' Ansell <tansell@google.com>
@proppy
Copy link
Collaborator

proppy commented Dec 15, 2023

/gcbrun

@mithro mithro changed the title DNM: Upgrade to Yosys version 0.36.0 Upgrade to Yosys version 0.36.0 Dec 18, 2023
@mithro
Copy link
Member Author

mithro commented Dec 18, 2023

@QuantamHD - PTAL. I just landed Yosys 0.36 into g3 and would like to keep them in sync.

@QuantamHD QuantamHD merged commit 49d5ae3 into hdl:main Dec 18, 2023
4 checks passed
@mithro mithro deleted the yosys-0.36 branch December 19, 2023 04:04
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants