Skip to content

Upload packages

Upload packages #1177

Triggered via schedule July 2, 2024 23:14
Status Failure
Total duration 40m 15s
Artifacts

Upload.yml

on: schedule
Build  /  yosys-linux-py310
2m 53s
Build / yosys-linux-py310
Build  /  yosys-linux-py37
2m 53s
Build / yosys-linux-py37
Build  /  yosys-linux-py38
2m 48s
Build / yosys-linux-py38
Build  /  prjtrellis-linux
8m 14s
Build / prjtrellis-linux
Build  /  icestorm-windows
10s
Build / icestorm-windows
Build  /  openroad-linux-py310
4m 4s
Build / openroad-linux-py310
Build  /  openroad-linux-py37
3m 55s
Build / openroad-linux-py37
Build  /  openroad-linux-py38
3m 56s
Build / openroad-linux-py38
Build  /  prjxray-db-linux
5m 22s
Build / prjxray-db-linux
Build  /  prjxray-tools-linux
8m 40s
Build / prjxray-tools-linux
Build  /  verilator-linux
12m 41s
Build / verilator-linux
Build  /  vtr-optimized-linux
6m 40s
Build / vtr-optimized-linux
Build  /  nextpnr-generic-linux
8m 25s
Build / nextpnr-generic-linux
Build  /  nextpnr-xilinx-linux
2m 37s
Build / nextpnr-xilinx-linux
Build  /  nextpnr-fpga_interchange-linux
10m 9s
Build / nextpnr-fpga_interchange-linux
Build  /  xilinx-vivado-linux
19m 56s
Build / xilinx-vivado-linux
Build  /  klayout-osx
6m 9s
Build / klayout-osx
Build  /  magic-osx
9m 35s
Build / magic-osx
Build  /  netgen-osx
5m 22s
Build / netgen-osx
Build  /  openroad-osx
3m 18s
Build / openroad-osx
Build  /  prjtrellis-osx
8m 1s
Build / prjtrellis-osx
Build  /  verilator-osx
5m 43s
Build / verilator-osx
Build  /  vtr-osx
5s
Build / vtr-osx
Build  /  vtr-gui-osx
5s
Build / vtr-gui-osx
Build  /  nextpnr-generic-osx
9m 7s
Build / nextpnr-generic-osx
Build  /  prjtrellis-windows
10s
Build / prjtrellis-windows
Build  /  icarus-windows
9s
Build / icarus-windows
Build  /  yosys-windows
11s
Build / yosys-windows
Build  /  nextpnr-generic-windows
9s
Build / nextpnr-generic-windows
Build  /  verible-linux
10m 44s
Build / verible-linux
Build  /  tree-sitter-verilog-linux
5m 5s
Build / tree-sitter-verilog-linux
Build  /  odin-ii-linux
2m 19s
Build / odin-ii-linux
Build  /  slang-linux
3m 37s
Build / slang-linux
Build  /  sv-parser-linux
10m 14s
Build / sv-parser-linux
Build  /  moore-linux
2m 1s
Build / moore-linux
Build  /  zachjs-sv2v-linux
6m 11s
Build / zachjs-sv2v-linux
Build  /  xls-linux
22m 46s
Build / xls-linux
Build  /  xschem-linux
5m 10s
Build / xschem-linux
Build  /  klayout-linux-py37
37m 33s
Build / klayout-linux-py37
Build  /  klayout-linux-py38
38m 16s
Build / klayout-linux-py38
Build  /  klayout-linux-py310
39m 25s
Build / klayout-linux-py310
Build  /  klayout-linux-py311
37m 35s
Build / klayout-linux-py311
Build  /  klayout-linux-py312
37m 20s
Build / klayout-linux-py312
Build  /  ngspice-linux
4m 10s
Build / ngspice-linux
Build  /  open_pdks-sky130a-linux
0s
Build / open_pdks-sky130a-linux
Build  /  open_pdks-gf180mcuc-linux
0s
Build / open_pdks-gf180mcuc-linux
Build  /  symbiyosys-linux-py310
0s
Build / symbiyosys-linux-py310
Build  /  symbiyosys-linux-py37
0s
Build / symbiyosys-linux-py37
Build  /  symbiyosys-linux-py38
0s
Build / symbiyosys-linux-py38
Build  /  nextpnr-ecp5-linux
18m 10s
Build / nextpnr-ecp5-linux
Build  /  nextpnr-ice40-linux
13m 59s
Build / nextpnr-ice40-linux
Build  /  nextpnr-ice40-osx
20m 9s
Build / nextpnr-ice40-osx
Build  /  nextpnr-ice40-windows
9s
Build / nextpnr-ice40-windows
Build  /  nextpnr-nexus-linux
9m 31s
Build / nextpnr-nexus-linux
Build  /  quicklogic-vtr-gui-linux
0s
Build / quicklogic-vtr-gui-linux
Build  /  quicklogic-vtr-linux
0s
Build / quicklogic-vtr-linux
Build  /  symbiflow-yosys-plugins-osx
0s
Build / symbiflow-yosys-plugins-osx
Build  /  openlane-linux-py310
0s
Build / openlane-linux-py310
Build  /  openlane-linux-py37
0s
Build / openlane-linux-py37
Build  /  openlane-linux-py38
0s
Build / openlane-linux-py38
Build  /  verilator-uhdm-linux-py37
0s
Build / verilator-uhdm-linux-py37
Build  /  verilator-uhdm-linux-py38
0s
Build / verilator-uhdm-linux-py38
Build  /  verilator-uhdm-linux-py310
0s
Build / verilator-uhdm-linux-py310
Build  /  surelog-linux-py37
0s
Build / surelog-linux-py37
Build  /  surelog-linux-py38
0s
Build / surelog-linux-py38
Build  /  surelog-linux-py310
0s
Build / surelog-linux-py310
Build  /  surelog-uhdm-linux-py37
0s
Build / surelog-uhdm-linux-py37
Build  /  surelog-uhdm-linux-py38
0s
Build / surelog-uhdm-linux-py38
Build  /  surelog-uhdm-linux-py310
0s
Build / surelog-uhdm-linux-py310
Build  /  yosys-uhdm-linux-py37
0s
Build / yosys-uhdm-linux-py37
Build  /  yosys-uhdm-linux-py38
0s
Build / yosys-uhdm-linux-py38
Build  /  yosys-uhdm-linux-py310
0s
Build / yosys-uhdm-linux-py310
Build  /  yosys-plugins-symbiflow-linux
0s
Build / yosys-plugins-symbiflow-linux
Build  /  symbiflow-yosys-plugins-linux
0s
Build / symbiflow-yosys-plugins-linux
Fit to window
Zoom out
Zoom in

Annotations

42 errors and 23 warnings
Build / icarus-osx
Process completed with exit code 1.
Build / yosys-linux-py38
Process completed with exit code 1.
Build / yosys-linux-py37
Process completed with exit code 1.
Build / yosys-linux-py310
Process completed with exit code 1.
Build / icarus-linux
Process completed with exit code 1.
Build / slang-linux
Process completed with exit code 1.
Build / openroad-linux-py38
Process completed with exit code 1.
Build / openroad-linux-py37
Process completed with exit code 1.
Build / tcllib-linux
Process completed with exit code 1.
Build / netgen-linux
Process completed with exit code 1.
Build / openroad-linux-py310
Process completed with exit code 1.
Build / ngspice-linux
Process completed with exit code 1.
Build / magic-linux
Process completed with exit code 1.
Build / tree-sitter-verilog-linux
Process completed with exit code 1.
Build / xschem-linux
Process completed with exit code 1.
Build / netgen-osx
Process completed with exit code 1.
Build / klayout-osx
Process completed with exit code 1.
Build / zachjs-sv2v-linux
Process completed with exit code 1.
Build / vtr-optimized-linux
Process completed with exit code 1.
Build / nextpnr-generic-linux
Process completed with exit code 1.
Build / prjxray-tools-linux
Process completed with exit code 1.
Build / openroad-osx
Process completed with exit code 1.
Build / vtr-linux
Process completed with exit code 1.
Build / magic-osx
Process completed with exit code 1.
Build / nextpnr-fpga_interchange-linux
Process completed with exit code 1.
Build / verible-linux
Process completed with exit code 1.
Build / yosys-osx
Process completed with exit code 1.
Build / verilator-osx
Process completed with exit code 1.
Build / verilator-linux
Process completed with exit code 1.
Build / nextpnr-generic-osx
Process completed with exit code 1.
Build / vtr-gui-linux
Process completed with exit code 1.
Build / gperftools
Process completed with exit code 1.
Build / nextpnr-nexus-linux
Process completed with exit code 1.
Build / nextpnr-ice40-linux
Process completed with exit code 1.
Build / xls-linux
Process completed with exit code 1.
Build / nextpnr-ecp5-linux
Process completed with exit code 1.
Build / nextpnr-ice40-osx
Process completed with exit code 1.
Build / klayout-linux-py312
Process completed with exit code 1.
Build / klayout-linux-py37
Process completed with exit code 1.
Build / klayout-linux-py311
Process completed with exit code 1.
Build / klayout-linux-py38
Process completed with exit code 1.
Build / klayout-linux-py310
Process completed with exit code 1.
Build / icarus-windows
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / icestorm-windows
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / prjtrellis-windows
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / yosys-windows
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / nextpnr-generic-windows
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / nextpnr-ice40-windows
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Cleanup
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / icarus-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / slang-linux
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / netgen-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / klayout-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / icestorm-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / openroad-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / vtr-gui-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / magic-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / vtr-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / verible-linux
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / yosys-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / verilator-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / nextpnr-generic-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / prjtrellis-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Build / nextpnr-ice40-osx
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.
Upload
Node.js 16 actions are deprecated. Please update the following actions to use Node.js 20: actions/checkout@v3. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/.