Skip to content

Commit

Permalink
asdf
Browse files Browse the repository at this point in the history
Signed-off-by: Thomas Heijligen <src@posteo.de>
  • Loading branch information
heijligen committed Jan 3, 2020
1 parent d693c4e commit f5d0cd9
Show file tree
Hide file tree
Showing 3 changed files with 9 additions and 5 deletions.
4 changes: 2 additions & 2 deletions Makefile
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
#yosys_cmd = yosys -p 'read_verilog +/xilinx/cells_xtra.v $(1)/*.v; synth_xilinx -edif $(1).edif -top $(1)'
yosys_cmd = yosys -p 'read_verilog $(1)/*.v; synth_xilinx -edif $(1).edif -top $(1)'
yosys_cmd = yosys -p 'read_verilog +/xilinx/cells_xtra.v $(1)/*.v; synth_xilinx -edif $(1).edif -top $(1)'
#yosys_cmd = yosys -p 'read_verilog $(1)/*.v; synth_xilinx -edif $(1).edif -top $(1)'
vivado_cmd = vivado -nolog -nojournal -mode batch -source $(1).tcl
vivado_tcl = echo -e "read_xdc pynq.xdc\n\
read_edif $(1).edif\n\
Expand Down
8 changes: 6 additions & 2 deletions blink/blink.v
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
module blink (
input clk,
output [3:0]led
);

wire [3:0] fclk;
reg status;

always @(posedge clk) begin
always @(posedge fclk[0]) begin
if (status == 0)
status <= 1;
else
Expand All @@ -16,6 +16,10 @@ module blink (
assign led[1] = status;
assign led[2] = status;
assign led[3] = status;

PS7 the_PS (
.FCLKCLK (fclk)
);
endmodule


2 changes: 1 addition & 1 deletion pynq.xdc
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports clk]
#set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports clk]

set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVCMOS33} [get_ports led[0]]
set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports led[1]]
Expand Down

0 comments on commit f5d0cd9

Please sign in to comment.