Skip to content

Commit

Permalink
SystemVerilog: revert the change on 9adefd9.
Browse files Browse the repository at this point in the history
// Ports of modport should be ignored.
  • Loading branch information
hirooih committed Sep 5, 2020
1 parent 3c03141 commit a52f96e
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion parsers/verilog.c
Original file line number Diff line number Diff line change
Expand Up @@ -276,7 +276,7 @@ static short hasSimplePortList (tokenInfo const* token)
case K_FUNCTION:
case K_CLASS:
case K_INTERFACE:
case K_MODPORT:
//case K_MODPORT: // ports of modport should be ignored.
case K_PROGRAM:
case K_PROPERTY:
return true;
Expand Down

0 comments on commit a52f96e

Please sign in to comment.