Skip to content

A basic testbench made for educational purposes using SystemVerilog and the Universal Verification Methodology

Notifications You must be signed in to change notification settings

hungkero/uvm-testbench-tutorial-simple-adder

 
 

Repository files navigation

How to run
----------

This Makefile is made to be used with Synopsys VCS but it should be easily adaptable to any SystemVerilog simulator.

To run the simulation you just need to type:

	$ make -f Makefile.vcs


Configuration
-------------

You'll need to edit the Makefile.vcs file and change the variable 'UVM_HOME' to your UVM installation.


Explanation of the code
-----------------------

An explanation of this UVM testbench can be found at: http://colorlesscube.com/uvm-guide-for-beginners/

About

A basic testbench made for educational purposes using SystemVerilog and the Universal Verification Methodology

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • SystemVerilog 79.8%
  • Verilog 20.2%