Skip to content

Commit

Permalink
Update README.md
Browse files Browse the repository at this point in the history
  • Loading branch information
jevogel committed Jun 19, 2018
1 parent 178a21c commit da778e7
Showing 1 changed file with 0 additions and 2 deletions.
2 changes: 0 additions & 2 deletions README.md
Original file line number Diff line number Diff line change
@@ -1,8 +1,6 @@
SublimeLinter-contrib-vcom
================================

[![Build Status](https://travis-ci.org/SublimeLinter/SublimeLinter-contrib-vcom.svg?branch=master)](https://travis-ci.org/SublimeLinter/SublimeLinter-contrib-vcom)

This linter plugin for [SublimeLinter](https://github.com/SublimeLinter/SublimeLinter) provides an interface to `vcom`, a VHDL compiler provided with [ModelSim](https://www.mentor.com/products/fv/modelsim/) and QuestaSim which provides a linting mode. It will be used with files that have the “VHDL” syntax.

## Installation
Expand Down

0 comments on commit da778e7

Please sign in to comment.