Skip to content

Commit

Permalink
Remove unneeded base class
Browse files Browse the repository at this point in the history
  • Loading branch information
schoeberl committed Oct 8, 2024
1 parent 8e98b16 commit 3478c30
Show file tree
Hide file tree
Showing 2 changed files with 7 additions and 25 deletions.
8 changes: 7 additions & 1 deletion src/main/scala/leros/Leros.scala
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,13 @@ import leros.State._
*
* Sequential implementation with two states.
*/
class Leros(prog: String, size: Int = 32, memAddrWidth: Int = 8) extends LerosBase(prog) {
class Leros(prog: String, size: Int = 32, memAddrWidth: Int = 8) extends Module {

val io = IO(new Bundle {
// val dout = Output(UInt(32.W))
// val sw = Input(UInt(4.W))
val led = Output(UInt(8.W))
})

val alu = Module(new AluAccu(size))

Expand Down
24 changes: 0 additions & 24 deletions src/main/scala/leros/LerosBase.scala

This file was deleted.

0 comments on commit 3478c30

Please sign in to comment.