Skip to content
cpu

GitHub Action

VUnit Action

v0.1.0 Pre-release

VUnit Action

cpu

VUnit Action

Automatically test your vhdl code with VUnit

Installation

Copy and paste the following snippet into your .yml file.

              

- name: VUnit Action

uses: VUnit/vunit_action@v0.1.0

Learn more about this action in VUnit/vunit_action

Choose a version

VUnit GitHub action

This action tests your vhdl and verilog code with VUnit!

Inputs

run_file

Optional Path to the VUnit top level Python script. Default run.py.

Example usage

uses: VUnit/vunit_action@master
with:
  run_file: path/to/run.py

Test

In .github/workflows/test.yml you find an example of use of this action applied to the test/ directory.