Skip to content
This repository has been archived by the owner on Nov 5, 2021. It is now read-only.

Commit

Permalink
Merge pull request #108 from xadegunt/systemverilog_merge_to_master
Browse files Browse the repository at this point in the history
  • Loading branch information
alexdima authored Aug 27, 2020
2 parents 2845e42 + bd35c01 commit 490c7b6
Show file tree
Hide file tree
Showing 4 changed files with 1,370 additions and 0 deletions.
1 change: 1 addition & 0 deletions src/monaco.contribution.ts
Original file line number Diff line number Diff line change
Expand Up @@ -62,6 +62,7 @@ import './sophia/sophia.contribution';
import './sql/sql.contribution';
import './st/st.contribution';
import './swift/swift.contribution';
import './systemverilog/systemverilog.contribution';
import './tcl/tcl.contribution';
import './twig/twig.contribution';
import './typescript/typescript.contribution';
Expand Down
23 changes: 23 additions & 0 deletions src/systemverilog/systemverilog.contribution.ts
Original file line number Diff line number Diff line change
@@ -0,0 +1,23 @@
/*---------------------------------------------------------------------------------------------
* Copyright (c) Microsoft Corporation. All rights reserved.
* Licensed under the MIT License. See License.txt in the project root for license information.
*--------------------------------------------------------------------------------------------*/
'use strict';

import { registerLanguage } from '../_.contribution';

registerLanguage({
id: 'systemverilog',
extensions: ['.sv', '.svh'],
aliases: ['SV', 'sv', 'SystemVerilog', 'systemverilog'],
loader: () => import('./systemverilog')
});


registerLanguage({
id: 'verilog',
extensions: ['.v', '.vh'],
aliases: ['V', 'v', 'Verilog', 'verilog'],
loader: () => import('./systemverilog')
});

Loading

0 comments on commit 490c7b6

Please sign in to comment.