Skip to content

Commit

Permalink
Merge pull request #1 from mrLSD/feat/sipeed-tangnano
Browse files Browse the repository at this point in the history
Feat: add Sipeed TangNano 9k board
  • Loading branch information
mrLSD committed Sep 23, 2023
2 parents 0e4b1d9 + 7e707c5 commit ef5a3a3
Show file tree
Hide file tree
Showing 46 changed files with 48,600 additions and 36 deletions.
31 changes: 26 additions & 5 deletions README.md
Original file line number Diff line number Diff line change
@@ -1,10 +1,31 @@
# FPGA
FPGA samples. Most of them base on Verilog or SystemVerilog.
Implemented for Altera Development Board with Quartus CAD.
# FPGA Research & Development

## Projects
## Supported boards

- Altera devboard Cyclone IV E - `EP4CE10E22C8`
- Sipeed TangNano 9k

## Sipeed TangNano 9k

- Usefull getting started guides:
- Sipeed [website]()
- [Lushat Labs articles](https://learn.lushaylabs.com/getting-setup-with-the-tang-nano-9k/#creating-a-new-project)
- github examples:
- https://github.com/lushaylabs/tangnano9k-series-examples
- https://github.com/sipeed/TangNano-9K-example
- required: [OSS Cad Suite](https://github.com/YosysHQ/oss-cad-suite-build) or just install [Gowin EDA](https://www.gowinsemi.com/en/support/download_eda/).

### Altera Devboard

- Devboard: `Cyclone IV E EP4CE10E22C8`
- Quartus CAD required
FPGA project mostly base on Verilog or SystemVerilog. And implemented for Altera DevelopmentBoard with Quartus CAD.

#### Altera based projects

* **VGA** - output via VGA interfact to motinors. Can draw multy line text with specific fonts.
* **led4_highreg** - 12 LED circle sequence
* **timer** - count down timer with ability set timer time.
* **timer** - onboard digital LED count down timer with ability set timer time.
Digital LED and Keys used for I/O.

### LICENSE MIT
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
Binary file added sipeed-tangnano-9k/lcd_screen/.DS_Store
Binary file not shown.
36 changes: 36 additions & 0 deletions sipeed-tangnano-9k/lcd_screen/Makefile
Original file line number Diff line number Diff line change
@@ -0,0 +1,36 @@
BOARD=tangnano9k
FAMILY=GW1N-9C
DEVICE=GW1NR-LV9QN88PC6/I5

all: app.fs

# Synthesis
app.json: top.v lcd.v gowin_rpll/gowin_rpll.v
yosys -p "read_verilog lcd.v top.v gowin_rpll/gowin_rpll.v; synth_gowin -top top -json app.json"

# Place and Route
app_pnr.json: app.json
nextpnr-gowin --json app.json --write app_pnr.json --freq 27 --device ${DEVICE} --family ${FAMILY} --cst ${BOARD}.cst

# Generate Bitstream
app.fs: app_pnr.json
gowin_pack -d ${FAMILY} -o app.fs app_pnr.json

# Program Board
load: app.fs
openFPGALoader -b ${BOARD} app.fs -f

# Generate Simulation
screen_test.o: app.v app_tb.v
iverilog -o app_test.o -s test app.v app_tb.v

# Run Simulation
test: app_test.o
vvp app_test.o

# Cleanup build artifacts
clean:
rm app.vcd app.fs app_test.o

.PHONY: load clean test
.INTERMEDIATE: app_pnr.json app.json app_test.o
728 changes: 728 additions & 0 deletions sipeed-tangnano-9k/lcd_screen/app.fs

Large diffs are not rendered by default.

Loading

0 comments on commit ef5a3a3

Please sign in to comment.