Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Enable verilog/systemverilog syntax highlighting in markdown code block #101

Merged
merged 1 commit into from
May 13, 2020

Conversation

kaz-on
Copy link
Contributor

@kaz-on kaz-on commented May 7, 2020

Add markdown support for verilog and systemverilog syntax highlighting.
This allows you to highlight verilog/systemverilog code in markdown code blocks.

@mshr-h mshr-h merged commit 318da5b into mshr-h:master May 13, 2020
@mshr-h
Copy link
Owner

mshr-h commented May 13, 2020

Great work!

@kaz-on kaz-on deleted the codeblock branch May 14, 2020 11:06
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants