Skip to content

Commit

Permalink
Add axi_burst_unwrap
Browse files Browse the repository at this point in the history
Signed-off-by: Nils Wistoff <nwistoff@iis.ee.ethz.ch>
  • Loading branch information
niwis committed Dec 4, 2023
1 parent fccffb5 commit 7f82d0b
Show file tree
Hide file tree
Showing 5 changed files with 660 additions and 0 deletions.
1 change: 1 addition & 0 deletions Bender.yml
Original file line number Diff line number Diff line change
Expand Up @@ -37,6 +37,7 @@ sources:
# Level 2
- src/axi_atop_filter.sv
- src/axi_burst_splitter.sv
- src/axi_burst_unwrap.sv
- src/axi_bus_compare.sv
- src/axi_cdc_dst.sv
- src/axi_cdc_src.sv
Expand Down
1 change: 1 addition & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -23,6 +23,7 @@ In addition to the documents linked in the following table, we are setting up [d
|---------------------------------------------------------|------------------------------------------------------------------------------------------------------|----------------------------------|
| [`axi_atop_filter`](src/axi_atop_filter.sv) | Filters atomic operations (ATOPs), i.e., write transactions that have a non-zero `aw_atop` value. | |
| [`axi_burst_splitter`](src/axi_burst_splitter.sv) | Split AXI4 burst transfers into single-beat transactions. | |
| [`axi_burst_unwrap`](src/axi_burst_unwrap.sv) | Convert AXI4 wrapping burst transfers into up to two incremental bursts. | |
| [`axi_cdc`](src/axi_cdc.sv) | AXI clock domain crossing based on a Gray FIFO implementation. | |
| [`axi_cut`](src/axi_cut.sv) | Breaks all combinatorial paths between its input and output. | |
| [`axi_delayer`](src/axi_delayer.sv) | Synthesizable module which can (randomly) delays AXI channels. | |
Expand Down
1 change: 1 addition & 0 deletions axi.core
Original file line number Diff line number Diff line change
Expand Up @@ -17,6 +17,7 @@ filesets:
# Level 2
- src/axi_atop_filter.sv
- src/axi_burst_splitter.sv
- src/axi_burst_unwrap.sv
- src/axi_bus_compare.sv
- src/axi_cdc_dst.sv
- src/axi_cdc_src.sv
Expand Down
Loading

0 comments on commit 7f82d0b

Please sign in to comment.